• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(725)
  • 리포트(633)
  • 시험자료(34)
  • 논문(31)
  • 자기소개서(12)
  • 방송통신대(8)
  • 서식(4)
  • 이력서(3)
판매자 표지는 다운로드시 포함되지 않습니다.

"Logical Operations" 검색결과 361-380 / 725건

  • [요약] 교육심리학 3장
    ×6=180개내용영역차원(content areas): 시각적, 청각적, 상징적, 의미론적, 행동적인지활동차원(cognitive operation): 평가, 수렴적사고 생성력, 발산 ... , 읽기, 쓰기-논리-수학적(logical-mathematical) 지능: 수학문제 해결, 논리적-추상적 사고-공간적(spatial) 지능: 물리적 공간 지각, 시각적 인식-신체
    리포트 | 8페이지 | 2,000원 | 등록일 2013.11.27
  • 컴퓨터 시스템 구조 7장 연습문제
    방법으로써 각 매크로 연산을 수행하는 루틴을 제어 메모리의 입력의 위치에 저장할 수 있다. 메모리 매핑은 programmable logic device(PLD)라 불리는 집적 회로 ... 되는 동작이 다음의 표와 같을 때, 최소의 게이트를 사용하여 입력 논리 회로를 설계하여라.Operation0×1010100011110100011Incrementif, jump
    리포트 | 4페이지 | 1,000원 | 등록일 2011.12.02
  • 논리회로의 간략화 예비보고서
    operation)이라 한다. 논리게이트(logic gate)라는 디지털회로는 입력에 대해 출력전압이 기본 논리동작(OR, AND, NOT)을 수행되도록 다이오드, 트랜지스터, 저항들을 연결 ... (logic level)을 나타낸다. 디지털 회로의 전압은 실제 수치값에 따라 논리레벨 0또는 1로서 나타난다. 즉, 다시말하자면 부울대수는 논리회로의 입출력 관계를 나타내는 수단이 ... , 제곱근, 세제곱근, 로그, 허수 등은 없다. 실제로 부울대수는 단지 세 가지 기본연산만이 존재한다. OR, AND 그리고 NOT.이 기본동작들을 논리연산(logic
    리포트 | 10페이지 | 1,000원 | 등록일 2011.09.16
  • 전자회로실험보고서_실험7_디지털 집적회로 AND, OR게이트.hwp
    이 들어갈 때 출력 X 가 나온다.” 라고 할 수 있다. AND 게이트의 부호 로는 “X” 또는 “?”를 사용하고, 논리식(logic equation)은 X = A×B 또는 X = A ... (Boolean algebra)가 사용된다. 불대수의 함수를 논 리함수(logic function) 라고 부르고, 논리함수를 실현하는 전자적 스위칭회로를 논리회로(logic circuits ... )라 하며, 이 중 기본이 되는 간단한 것을 논리게이트 (logic gates) 또는 단순히 게이트(gates)라 한다. 게이트에는 AND, OR, NOT, NAND, NOR
    리포트 | 6페이지 | 1,500원 | 등록일 2009.12.09
  • 동북공정의 전반적인 내용에 대한 분석과 나아가야할 방안
    foundation was founded by the operating law. It has meaning of response by government agency. The ... . Almost operation cost rely on the government support but if they necessary to establish the ... logical responses based on academical study, we should procure experts. Third, it is taking an action on
    리포트 | 7페이지 | 3,000원 | 등록일 2011.04.08
  • Is a Bookless Library Still a Library-revised
    technology has to consider many aspects. The bookless library is operated by electricity. No power, no ... how to use computer is a duty to 21 century people. However, it is not logical. If such a
    리포트 | 2페이지 | 1,000원 | 등록일 2011.11.07
  • 간호이론의 평가
    한다성, 일반성, 이해에 대한 기여도, 예측력, 실용적 적절성의 6가지로 분류하였다.? 의미와 논리의 적절성(mean&logical adequacy) : 기본 가정, 개념, 개념 ... 평가로 검증이 가능해지기 위해서는 이론 속의 개념들은 조작적으로 정의되어야 한다는 것이며 이는 다시 조작적 적절성과 경험적 적절성으로 나뉜다.◇ 조작적 적절성(operational
    리포트 | 9페이지 | 1,000원 | 등록일 2013.04.30
  • 해양플랜트 일반
    아니라 부수적인 Line 도 표기해주어야 하고 또한 Pipe Diameter, Rating,Insulation 뿐 아니라 Control Logic 에 따라 Control System ... Design 및 Operation 에관련한 기술적 특성을 검토함으로써 Pump 전반을 이해하기 위함이다.2. 종류2-1 기능적 측면a) Fire Water Pump ... 처리 하는 공정으로 구분할수 있으며 생산 Operating 하는데 필요한 부수적인 설비 Utility Facility 및 사람이 주거 하는데 필요한 거주구, 안전장비, 통신설비
    리포트 | 44페이지 | 2,000원 | 등록일 2011.09.01
  • 16비트 ALU VHDL설계
    No operation변화 없음Reserved1. VDHLLIBRARY ieee;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned ... 1011Y←A xor BZxor1100Y←A'Zcomplement1101C, Z, V, N←0C, Vclear FLAGs1110No operation변화 없음Reserved1111 ... .all;ENTITY alu16 IS PORT(a, b : IN std_logic_vector(15 downto 0);ci : IN std_logic;code : IN std
    리포트 | 3페이지 | 1,000원 | 등록일 2007.06.21
  • 판매자 표지 자료 표지
    VHDL을 이용한 마이크로프로세서설계
    ;USE ieee.std_logic_1164.all;USE ieee.std_logic_unsigned.all;ENTITY rom ISGENERIC(dsize : integer ... := 8;asize : integer := 4);PORT(address : IN INTEGER RANGE 0 to 255; dout : OUT std_logic_vector ... (7 DOWNTO 0)); end rom; ARCHITECTURE operation OF rom ISCONSTANT Aword : positive := 2**asize;TYPE
    리포트 | 11페이지 | 4,900원 | 등록일 2007.12.08
  • LVMH 기업분석
    egment. Since profit contribution is closely related to each division’s net operating income, not sales ... goods segment is 25.9%. In the same logic, other segments’ ROIs can be calculated.-Calculation of ... Residual Income: (NOI x ROI) - (Average operating asset x Minimum required return ratio)Residual
    리포트 | 11페이지 | 1,500원 | 등록일 2010.09.19
  • CUBLOC2
    공업회규격(NEMA)의 PLC 정의 디지털 또는 아날로그 입출력 모듈을 통해 Logic, Sequencing, Timing, Counting, Operating 등의 특수기능을 수행 ... 고 싶어지는 실험이다.5.1 용어정리① PLCPLC는 Programmable Logic Controller의 약자로서, 종래에 사용하던 제어반 내의 릴레이, 타이머, 카운트 등
    리포트 | 8페이지 | 1,000원 | 등록일 2011.01.21 | 수정일 2019.11.21
  • 전자계산기
    의 운영체제(Operating System) 개념을 도입? 다중프로그램 방식(multiprogramming) 실현? 온라인 실시간처리방식? Transistor-base ... , 하드디스크 , 시디-롬 등이 있다.4. 컴퓨터의 기본 구성을 각 장치별로 간단히 설명하고 CPU 구성을 블록으로 표현하라.1) ALU (Arithmetic Logic Unit)
    리포트 | 7페이지 | 1,500원 | 등록일 2012.02.05
  • 영어구두 발표 총정리
    inCP/M and MS-DOS are alike in the capability of the operating systems. The pressure on both sides of ... operate using two distinct voltage signal levels. I would only suggest that our graduate school should ... logic (TTL) and complementary metal-oxide semiconductor (CMOS)?2. 내용에 관해 상세히 묻는 방법17 [4] 상이점을 묻는 방법Wha}
    리포트 | 166페이지 | 4,000원 | 등록일 2011.06.30 | 수정일 2023.02.12
  • 컴퓨터 구조
    장치[데이터패스](ALU : Arithmetic Logic Unit) 사칙 연산을 수행하는 산술 연산(Arithmetic Operation)과 참과 거짓을 판별하는 논리 연산 ... (Logic Operation)을 수행 제어장치(Control) CPU 내부에서 일어나는 모든 작업을 통제하고 관리 적절한 순서로 명령을 꺼내고 명령을 해석하여 그 해석에 따라 컴퓨터
    리포트 | 32페이지 | 3,000원 | 등록일 2010.09.21
  • 영자신문 기사 분석 영문 레포트
    the logic, especially after time has passed since I organized my thoughts and composed a paper of ... bureaucracy, which helped the country’s whole economy to successfully operate insomuch as it was ranked ... this reason, professional ethics must be obligated to come first so that the society can operate well
    리포트 | 6페이지 | 1,500원 | 등록일 2010.05.27
  • 논리회로 설계 실험 계산기 설계
    이다. data_gen entity는 다음과 같다.entity data_gen isPort ( FPGA_RSTB : in STD_LOGIC;FPGA_CLK : in STD ... _LOGIC;w_enable : in STD_LOGIC;data_out : out STD_LOGIC;addr : out STD_LOGIC_VECTOR (4 downto 0);data ... : out STD_LOGIC_VECTOR (7 downto 0);load_operand1 : in STD_LOGIC;load_operand2 : in STD_LOGIC;load_plus
    리포트 | 21페이지 | 1,000원 | 등록일 2009.07.10
  • [컴퓨터]FORTRAN기초에 관하여
    . 〓≫ 합 (logical dubjunction)※ Logical Operator의 계산 우선 순위는 크기 대소를 판정하는 연산자(.LT. or EQ 등)가 높은 순위를 갖으며 그 ... 가실제 값을 나타내는 것example) π=3.141715...- 종류1) 숫자형 상수 (numeric constant)2) 논리형 상수 (logical constant)3) 문자 ... = (12.5, -2.5) ⇒ B = 12.5-2.5?(* 정수형 상수 혹은 실수형 상수의 쌍으로 표시)2) Logical Constant (논리형 상수)- 논리형 상수는 참(TRUE
    리포트 | 12페이지 | 1,500원 | 등록일 2008.10.17
  • 서울시지방직공채 영어면접 자료
    who can operate or manage the subjects which should be considered mainly by culture policy with ... between creative thinking and logical thinking.*열악한 재정과 인력의 한계를 뛰어넘는 방법은 적극적인 자세와 기발한 아이디어뿐이다.Only
    자기소개서 | 23페이지 | 3,000원 | 등록일 2012.10.09
  • AST를 다시 UCODE로 제작하는 프로그램
    (sti);}break;//binary(arithmetic/relational/logical) operators//산술, 관계, 로직 연산자.case ADD: case SUB: c ... );elserv_emit(rhs);// step 4: emit the corresponding operation code//현재 노드의 처리.switch (ptr->token.number ... (swp);emit0(sti);}//elseprintf("error in increment/decrement operators\n");}break;//인덱스인 경우case INDEX
    리포트 | 42페이지 | 2,000원 | 등록일 2010.11.24
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 08일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:55 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감