• 통합검색(115)
  • 리포트(110)
  • 자기소개서(4)
  • 논문(1)

"vhdl 7segment" 검색결과 21-40 / 115건

판매자 표지는 다운로드시 포함되지 않습니다.
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    , 7420, 7432, 7447, 7483, 7485, 7486, 74139, 741517-segment실험 방법가산기와 감산기가산기는 컴퓨터에서뿐만 아니라 수치 데이터를 처리하는 디지털 ... Exp#5. 디지털 논리회로의 응용 – 가산기/비교기/멀티플렉서/디멀티플렉서실험 목표반가산기와 전가산기의 원리를 이해한다.비교기의 원리를 이해하고 이를 응용한 회로를 구성할 수 ... 은 반가산기와 반가산기의 진리표이다. 반 가산기는 한자리 수 이진수인 A, B를 더하는 역할을 한다. 반 가산기는 2가지 출력, Sum(S)과 Carry(C)를 가지고 있
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.03
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 결과 보고서
    =11[그림 5][그림 5]의 LED 점등 결과와 [표 1]의 진리표가 일치함을 알 수 있다.2) 7-segment 동작을 위한 decoder 구현A. 퀴즈 3번의 결과를 TTL ... 로 구현퀴즈 3번에 제시된 [그림 6]의 7-segment 모양을 구현한다. 이를 위한 진리표를[표 3]에 나타내었다.[그림 6]INPUTOUTPUI1I0ABCDEFGDOT ... egment를 통해 확인하였다.[그림 7][그림 8]의 7-segment에 [그림 6]의 모양이 잘 구현된 것을 확인할 수 있다.I _{ 1I _{ 0=00I _{ 1I _{ 0
    리포트 | 10페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 논리회로설계실험 스톱워치 설계과제2 결과보고서
    되어 stopwatch를 작동시키게 되므로 input으로 선언해 주었으며 output으로는 6개의 7segment7segment의 8개 LED로 나타나기 때문에 DIGIT_CON ... 과 sseg를 선언해 주었다. 이 후 signal 형태로 6개의 7segment를 모두 작동시킬 때 사용되는 클록 clk_dc을 설정해 주었다. 또한 분, 초, 초의 주기에 맞 ... 의 일의 자리만 작동하기 때문에 가장 오른쪽 7 segment에 0이 출력된다.Digit를 선택한후 그에 맞게 LED를 작동시켜야 하는데 일의자리와 십의자리에 대하여 출력
    리포트 | 9페이지 | 8,000원 | 등록일 2018.01.10
  • [VHDL] 7_Segment를 이용한 타이머 및 다양한 동작 (설계)
    _system IScomponent timer-- 역카운트 Timer 및 7_segment와 LED Diplay Data 처리PORT(clk : IN STD_LOGIC;stop_sw ... 1. 과제 개요? 한 학기 동안 배운 VHDL을 충분히 복습하여본다.? 실습기간동안 배운 것 외의 기능을 사용하여본다.? VHDL을 통해 7_Segment를 이용한 타이머 및 ... _LOGIC_VECTOR(13 downto 0);led_data : OUT STD_LOGIC_VECTOR(4 downto 0);seg_com : OUT STD_LOGIC_VECTOR(7
    리포트 | 25페이지 | 1,500원 | 등록일 2010.12.14
  • 서강대학교 디지털논리회로실험 - 실험 3. Decoders and Encoders 예비 보고서
    -to-7-segment decoderBCD코드를 [그림 10]의 7-segment 출력에 적합한 코드로 변환하는 논리 회로이다.[그림 10] [그림 11]Graphical s ... -segment decoder의 기능을 하는 소자이다. 입력의 BCD코드를 7-segment 입력에 바로 인가할 수 있는 출력으로 변환한다. Logic symbol 및 c ... onnecction diagramd은 [그림 13]과 같다. 출력이 active low이기 때문에 kit상의 common anode 방식 7-segment에 입력으로 바로 인가할 수 있
    리포트 | 11페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 아주대학교 논리회로 설계 과제 1. 7 Segment Decoder vhdl
    을 경우 해당되는 7 segment의 불이 켜지도록 하였다. 또한 H의 값은 1010으로 따로 지정하여 점을 나타내도록 하였다.처음 과제를 받았을 때는 VHDL에 관해 ... 이나 Structure 표현-xx.xx.xx. 형태로 날짜를 출력-201200001 형태로 학번을 출력-꼭 2개의 출력이 있어야 함1. 7 segment 2진수로 표현된 0~9까지의 입력이 들어갔 ... -7 Segment decoder를 이용해 학번을 출력-설계 방식은 if, case, with ~select, when 등을 택해서 설계-Block diagram
    리포트 | 11페이지 | 1,500원 | 등록일 2013.11.28
  • [VHDL] 7-세그먼트 디코더 설계
    ∙ 실습 목적하나의 7-세그먼트는 한 자리 16진수를 출력할 수 있다. 그러나 FPGA에서 한 자리 16진수는4비트에 저장되며, 7세그먼트에 출력하기 위해서는 디코딩을 해야 한다 ... . 본 실습에서는 스위치 입력으로 저장된 0x0~0xF 사이의 한 자리 16진수를 7세그먼트에 출력하기 위한 디코더를설계한다.∙ 실습 내용[그림 3-23]은 DigComV32 ... 에서 7-세그먼트의 연결상태를 보여준다.약 40ns의 딜레이가 생겨 늦게 출력되는 것을 볼 수 있지만 문제되지 않는다.스위치를 누르면 clk의 전압상승이 일어나면서 동시에 입력
    리포트 | 5페이지 | 1,000원 | 등록일 2012.12.16
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 예비 보고서
    ][그림 18]4) 중간고사 2번의 회로를 FPGA(schematic)로 구현하여 7-segment로 동작 확인최소화된 상태표 및 카르노맵을 각각 [표 6]과 [그림 19]에 나타내 ... 디지털논리회로실험예비 보고서[8주차]실험 7. Finite State Machines1. 실험 목적1) Finite state machine (FSM) 회로를 설계하고 분석할 수 ... 출력이 0임을 확인할 수 있다.[표 2][그림 7][그림 8][그림 9]2) 퀴즈 1번의 회로를 FPGA(schematic)로 구현하여 동작 확인최소화된 상태표 및 카르노맵을 각각
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 디시설 - 7-세그먼트 디코더 설계
    제목7-세그먼트 디코더 설계실습 목적하나의 7-세그먼트 FND는 한 자리의 16진수를 출력할 수 있다. FPGA에서 한 자리의 16진수는 4비트에 저장되며, 7-세그먼트에 출력 ... 하려면 디코딩해야 한다. 이 실습에서는 스위치 입력으로 저장된 0x0~0xF 사이의 한 자리 16지수를 한자리 7-세그먼트에 출력하며 스위칭 인코더와 7-세그먼트 디코더의 동작특성 ... 을 알고, FPGA kit와 연결하여 직접 출력하도록 한다.VHDL 코드- VHDL 코드 주요 동작부분 해석① 18행의 rising_edge(clk)는 클럭의 상승 에지가 발생
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • VHDL을 이용한 7-Segement Top 코드 및 시뮬레이션
    VHDL실습 4주차 레포트7-segement 최종 Top담당교수 :담당조교 :전자공학과세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 ... . 7개의 획은 각각 꺼지거나 켜질 수 있으며 이를 통해 아라비아 숫자를 표시할 수 있다. 몇몇 숫자(0, 6, 7, 9)는 둘 이상의 다른 방법으로 표시가 가능하다.7세그먼트 표시 ... *******1011*******1100*******1101*******1110*******1111*******세그먼트 하나는 0부터 9까지 표현이 가능하다. 불이 들어오는 영역은 7개
    리포트 | 8페이지 | 2,000원 | 등록일 2010.12.27
  • VLSI Project-보고서-택시미터기
    : 1 출력FND_t : 10출력FND_h : 100출력FND_d : 1000출력? FND디코더_low active (7-segment 출력)? add3 (5이상의 수이면 3을 더 ... 과 제 명taxi 미터기 구현담당교수제출일팀 원학 번이 름수행과제개요일상생활에서 흔히 이용하는 이용수단인 택시의 미터기를 보고지금 배우고 있는 VHDL을 이용하여 직접 구현해보 ... 내용일상생활에서 흔히 이용하는 이용수단인 택시의 미터기를 보고 지금 배우고 있는 VHDL을 이용하여 직접 구현해보면 재미있겠다는 생각에 이 주제를 선정했다.택시라는 것은 우리 나라
    리포트 | 11페이지 | 2,000원 | 등록일 2018.11.18
  • 2017년 상반기 LG CNS SI/SM 직무 합격 자기소개서 자소서
    ’프로그래밍에 대한 관심은 학부 2학년에 논리회로설계 과목을 수강할 때, VHDL을 사용하여 다양한 실습을 하면서 시작되었습니다. 7 segment를 이용해 다양한 디스플레이를 하 ... 을 들으며 C++의 기초를 배웠고 아두이노를 이용해 암호판독기, skin touch detector 만들기 등의 다양한 실습을 하면서 관심은 열정으로 변해 갔습니다. 마지막 학기인 ... 지금도 역량을 발전시키기 위해 전자전기프로그래밍 실습 과목을 수강하면서, 포인터와 어레이, Txt 파일과 Binary 파일의 입출력 실습, 동적 메모리 할당을 이용해서 stack
    자기소개서 | 2페이지 | 3,000원 | 등록일 2017.07.19
  • 아주대학교 논리회로 HDL프로젝트 100점만점보고서 상세설명 + CODE포함
    논리회로 VHDL Proj.2#. Project 개요VHDL 2nd Project는 Seven Segment Decoder를 VDHL로 구현하는 것이다.7 Segment ... (201122145/67) = 4 (201122145를 67로 나누었을 때의 나머지)기존 7segment의 일반적인 순서인 0을 4로 시작하여 우측 그림과 같이 Segment의 LED 순서 ... _vector를 integer로 변환하는 함수 작성7-segment 표시를 바탕으로 DecodingInput의 7(H)를 MSB로 한다. 따라서 Input은 HGFEDCBA와 같이 표현
    리포트 | 15페이지 | 2,500원 | 등록일 2016.07.09 | 수정일 2016.07.11
  • vhdl 디지털 탁상 시계 설계
    구현한다.다. 7-segment 표시에 관련해 디코더 직접회로가 필요할 수도 있다.라. VHDL 언어로 구현●Block Diagram●기능별 연관도발표자료(블록설계도각 기능 설명서 ... 의 목표● 설계목표 : VHDL 디지털 탁상 시계 구현.● 동작설명 : 현재 시각 표시(7-segment)Stopwatch 기능알람 기능(전용 전등 점등)발표자료자료조사● 시장조사 ... 시각 표시(7-segment)Stopwatch 기능알람 기능(전용 전등 점등)발표자료자료조사● 시장조사 : 전등 점등 기능을 갖춘 디지털 탁상시계는 없으므로 아이디어 상품으로 판단
    리포트 | 34페이지 | 3,000원 | 등록일 2010.12.30
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Post
    Design @ 7-segment and Piezo Control, 서울시립대학교.Datasheet - HBE-Combo II-SE VHDL과 Verilog HDL을 이용한 디지털 논리 회로 설계-XILINX-090508, 한백전자 기술연구소. ... Post-lab Report전자전기컴퓨터설계실험Ⅱ9주차. Application Design 7-segment and Piezo Control실험 날짜2016. 11.07학번이름 ... 하였다.[응용] Piezo Controller with 7 SegmentSource CodeSource CodePin Assignment Codecnt_sound 변수를 reset
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 판매자 표지 자료 표지
    4차선 신호등 제어기 설계를 위한 VHDL 코드 및 결과보고서
    _vector(7 downto 0);--키트의 8개의 7-segment 중 하나의 7-segment에만 출력이 된다.red : out std_logic_vector(3 downto 0);- ... _logic_vector(3 downto 0);seg : out std_logic_vector(6 downto 0));--신호등의 색깔을 7-segment에 나타내기 위한 것이다.end ... 를 위한 VHDL 코드library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_arith.all;use ieee.std_logic
    리포트 | 12페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • VHDL기초강의
    _decode := “0111111”; when “0001”= seg_decode := “0000110”;7-segment decoder VHDL code – function 사용 ... Process 문 If 문 Case 문 Loop 문 병행 처리문 VHDL의 구조적(structural) 표현 방식 Component 문 Generate 문*목차조합 회로(c ... (std_logic_1164)를 발표하였다 1993년에는 VHDL1076-1987에 대한 새로운 버전인 IEEE1076-1993 발표*VHDL을 시뮬레이션하고 합성하는 Tool
    리포트 | 106페이지 | 1,000원 | 등록일 2010.05.11
  • VHDL을 이용한 Digital Clock(디지털 클락) 코드 및 보고서입니다.
    1. Project Purpose이번 프로젝트의 목적은 7-segment를 이용하여 시, 분, 초를 나타내는 Digital Clock과 분, 초, 1/100초를 이용하여 초시계 ... _logic_vector(2 downto 0);---cnt가 증가함에 변화하는 slt에 따라 7segment 자리를 이동하면서 숫자를 화면에 동시에 나타나게 해준다.---signal ... 게 한다.- 나머지는 일반적인 전자시계의 원리와 같다.- 6개의 segment 이용(시, 분, 초 각각 2개)2. Digital Countdown- 분, 초, 1/100초를 표시
    리포트 | 13페이지 | 3,000원 | 등록일 2017.05.23
  • 서울시립대학교-전자전기컴퓨터설계실험2-제09주-Lab08-Pre
    Pre-lab Report전자전기컴퓨터설계실험Ⅱ9주차. Application Design 7-segment and Piezo Control실험 날짜2016. 11.07학번이름 ... 을 확인할 수 있다.Reference교안 – Verilog HDL 실습 Lab#08 Application Design @ 7-segment and Piezo Control, 서울 ... Professor조교IntroduceObject7-Segment를 이해하고, Piezo Buzzer의 사용법을 익힌다.Background Knowledges7-Segment숫자나 문자
    리포트 | 8페이지 | 1,500원 | 등록일 2017.09.04
  • 04-논리회로설계실험-예비보고서
    ) 7 segment 란?- 일반적으로 FND(Flexible Numeric Device)로 불리며 종류는 크게 극성 타입과 표시 개수로 나뉜다. 한 개의 7 segment ... -Anode 타입은 마이크로 컨트롤러에서 0을 출력해야 LED가 점등되고 Common-Cathode 타입은 1을 출력한 부분의 LED가 점등하게 된다.(4) BCD to 7 segment ... Decoder- 이진화 십진코드(BCD)를 7-segment로 복호하는 장치이다. 십진-BCD 디코더는 4개의 입력값에 1개의 독립된 출력값을 대응하는 것이 가능 하지만 이진
    리포트 | 9페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:41 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감