• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(354)
  • 리포트(338)
  • 자기소개서(15)
  • 이력서(1)

"xilinx" 검색결과 1-20 / 354건

  • 디지털 공학 실험 XILINX 결과레포트 7-segment
    1. 실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(7-segment)]2. 실험 결과3. 고찰이번실험은 verilog를 사용하여 7-segment 코드를 작성하고 FPGA board를 통해 검증을 하는 실험이었다. bcd to 7..
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 디지털공학 xilinx 결과레포트 NAND2, NOR2, XOR2
    1. 실험 제목 [Verilog HDL을 이용한 로직게이트설계 및 FPGA를 통한 검증]2. 실험 결과-NAND-XOR-NOR3. 고찰이번 실험은 HDL을 이용하여 코드를 작성하고 NEXYS-4-BOARD를 연결하여 의도한 논리 게이트와 실제 결과 값이 일치하는지 확인..
    리포트 | 4페이지 | 1,000원 | 등록일 2021.06.21
  • 디지털 논리회로 Xilinx와 key, dot matrix를 활용한 패턴 저장, 표출
    디지털 논리회로 실험 학기말 프로젝트1. 설계 목적학기 중에 다룬 기본기술(combinational circuits, sequential circuits, shift register, table lookup using memory devices, display devi..
    리포트 | 17페이지 | 2,000원 | 등록일 2020.12.03
  • 디지털 공학 실험 XILINX 결과레포트 hlaf, full, 4-bit adder
    1. 실험 제목 [Verilog HDL을 이용한 로직게이트 설계 및 FPGA를 통한 검증(4-bit Adder)]2. 실험 결과-half adder-full adder-4bit adder3. 고찰이번실험도 저번실험과 마찬가지로 verilog를 사용하여 코드를 작성하고 ..
    리포트 | 6페이지 | 1,000원 | 등록일 2021.06.21
  • xilinx
    다만 제조는 주문 생산 공장이라고 불리는 외부의 반도체 제조업체에 주문한다 .회사 소개 회사명의 기원 자일링스 (Xilinx) 이름은 FPGA 을 나타냄 : 두개의 X 는 논리 클러스터를 ... 창립자는 Xlinx 라는 이름이 마음에 들지 않아서 ' i ' 를 추가했고 그래서 자일링스 (Xilinx) 가 되었음 1984 년 설립 ' 프로그래머블 로직 솔루션 (Programmable
    리포트 | 13페이지 | 3,000원 | 등록일 2012.01.28
  • Xilinx-ISE 응용 레포트 (7-segment)
    디지털논리회로Xilinx-ISE 레포트주제 : Seven-segment분반: 2분반 화수목8Report주제로 7-segment를 선택한 이유는 저번에 multisim을 이용하여 7- ... 이로써 시뮬레이션 확인도 끝났다.epilogue구현을 끝마치며 BCD-7segment를 Xilinx-ISE을 통해 구현한 것은 정상적으로 작동하는 것으로 보였다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • Xilinx VHDL을 활용한 슬롯머신 설계
    1. 과제의 필요성주어진 소프트웨어와 하드웨어로 가능한 창의적인 작품을 설계하는 능력과 VHDL코드 작성능력을 향상시키고 팀 프로젝트를 함으로써 역할 분담 및 자기가 할당 받은 일을 수행할 수 있는 능력을 키우고자 하는 것.제한 된 시간 안에 프로젝트를 완성함으로써 앞..
    리포트 | 25페이지 | 3,000원 | 등록일 2013.06.01 | 수정일 2019.01.02
  • xilinx를 이용한 FSM설계
    13주차 과제FSM(Finite State Machine) 설계1. 설계 배경 및 목표• State Machine과 Mealy, Moore Machine의 구조에 대해 이해한다. • 3-state Mealy 상태도의 VHDL Modeling Example을 참조하여 그..
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.24
  • xilinx를 이용한 FPGA실습
    14주차 과제FPGA 실습1. 설계 배경 및 목표• RoV-Lab 3000을 이용하여 FPGA를 실습한다. • RoV-Lab 3000의 사용법을 숙지하고 구조와 각 부분별 역할을 확인한다. • 3가지 예제 LED1, 2, BUZZER를 설계하고 그 결과를 RoV-Lab..
    리포트 | 13페이지 | 1,000원 | 등록일 2010.06.24
  • [FPGA설계] xilinx를 이용하여 FPGA를 설계
    AVR 모듈(Atmega 128L) + FPGA 모듈(Xilinx Spartan-3 40만 게이트급)? ... 결과 보고이번 실습은 xilinx를 이용하여 FPGA를 설계하고 그 결과를 RoV-Lab 3000을 이용하여 확인하는 것이었습니다. ... RoV-Lab 3000와 xilinx를 이용하여 FPGA를 설계하고 실습합니다.? RoV-Lab 3000의 사용법을 숙지하고 구조와 각 부분별 역할을 확인합니다.?
    리포트 | 4페이지 | 1,500원 | 등록일 2012.06.18
  • Xilinx verilog 디지털 시계
    Hardware Implementation (Xilinx Tool 사용)165. ... bar3에 불이 들어와있다.3) 시간증가모드아래 그림은 sw 1, 4가 on인 상태이며1초마다 초와 시간이 가치 증가하는 모습이며 led는 시are Implementation (Xilinx
    리포트 | 39페이지 | 5,000원 | 등록일 2009.12.23
  • xilinx를 이용한 ROM, RAM설계
    12주차 과제Memory설계 – ROM, RAM1. 설계 배경 및 목표• ROM과 RAM의 정의와 특성을 이해하고 data type에 대해 알아본다. • Clock의 rising edge에서 동작하고 동기 enable기능을 갖는 3X8 ROM을 VHDL로 설계한다(RO..
    리포트 | 17페이지 | 1,500원 | 등록일 2010.06.24
  • Xilinx사 ISE의 isim 시뮬레이션을 스크립트로 실행하는 방법
    실행파일은 개별적으로 얻는 것이 아니고 Xilinx 사 홈페이지 (www.Xilinx.com)에 공개된 ISE 패키지에 내장되어 있기 때문에 ISE를 다운로드 받아 설치하면 ISim ... Xilinx 사에서 공개한 ISE 패키지 가운데 ISE Webpack 은 무상으로 공개하고 있기 때문에 교육 용으로는 이 패키지를 사용할 수 있다. ... ISim 은 Xilinx 사의 ISE 에 내장된 HDL 시뮬레이터로서 Verilog & VHDL 언어로 설계된 디지털 회로의 레지스터 레벨 혹은 타이밍 시뮬레이션에 사용된다.ISim
    리포트 | 17페이지 | 2,500원 | 등록일 2012.08.18 | 수정일 2014.08.19
  • Xilinx를 이용한 7-Segment 제어
    해당 내용은 “Xilinx PROM Configuration” 문서나 “Xilinx Clock Division” 문서를 참고하면 쉽게 이해할 수 있을 것이다.2) Port Design설계용 ... Verilog HDL and Xilinx ISE 8.1Design 7-Segment Controller[Using Clock Division Module]Contents TOC \o ... 개발 툴은 Xilinx社의 ISE 8.1버전을 이용하며, 언어는 Verilog HDL을 이용한다.먼저 아래와 같이 기본적인 포트를 선언한다.input n_rst;// 리셋 버튼input
    리포트 | 14페이지 | 1,000원 | 등록일 2010.04.10
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    Post-Lab Report- Title: Lab#02_HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴 -담당 교수담당 조교실 험 일학
    리포트 | 23페이지 | 3,000원 | 등록일 2016.04.06 | 수정일 2017.03.08
  • HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴
    Pre-Lab Report- Title: Lab#02_HBE-ComboⅡ-SE 보드, Xilinx 스파르탄3 FPGA 칩, ISE 디지털 디자인 툴-담당 교수담당 조교실 험 일학 번이 ... Reference (참고문헌)Introduction (실험에 대한 소개)Purpose of this Lab : Xilinx ISE를 이용하여 Schematic 설계를 한다. ... 이는 기본적인 논리 회로를 설계해보고 이 사용법과 원리를 파악한다.Essential Backgrounds (Required theory) for this LabISE 특징Xilinx
    리포트 | 13페이지 | 1,000원 | 등록일 2016.04.06
  • xilinx를 이용한 계수기(카운터, Counter)설계
    11주차 과제순차회로 – 계수기 설계1. 설계 배경 및 목표• J-K 플립플롭과 카운터의 정의와 특성을 알고 이해한다. • Load / Clear기능이 있는 카운터를 설계하고 Test Bench Waveform을 이용하여 시뮬레이션 결과를 출력한다. • CLR기능이 있..
    리포트 | 13페이지 | 1,500원 | 등록일 2010.06.24
  • Xilinx IP core의 설계 및 VHDL의 기초 설계법
    Xilinx IP core의 설계 및 VHDL의 기초 설계법목 차 TOC \o "1-2" \h \z \u HYPERLINK \l "_Toc184483310" 1.서론 PAGEREF ... Toc184483313" 2.2.Shift 레지스터 설계하기 PAGEREF _Toc184483313 \h 11 HYPERLINK \l "_Toc184483314" 3.VHDL을 이용한 Xilinx ... IEEE.STD_LOGIC_UNSIGNED.ALL;---- Uncomment the following library declaration if instantiating---- any Xilinx
    리포트 | 29페이지 | 3,000원 | 등록일 2012.03.14 | 수정일 2017.02.24
  • xilinx를 이용한 플립플롭(Flipflop)과 레지스터(Register)의 설계
    그리고 Xilinx프로그램으로 clk이 있는 회로 설계는 처음 해봤는데 clk의 주기나 상승, 하강에지에서 활성화 여부, 여러 delay들도 쉽게 설정할 수 있어서 수월하게 실습을
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.24
  • xilinx를 이용한 디코더(Decoder)와 인코더(Encoder)설계
    6주차 과제디코더(Decoder)와 인코더(Encoder)설계1. 설계 배경 및 목표6주차 실습은 디코더(Decoder)와 인코더(Encoder)의 정의와 작동 방식을 알며 조건문이 어떤 것이 있고 조건문 사용은 어떻게 하는지 알아본다. 그 이후 디코더는 Case문, ..
    리포트 | 19페이지 | 1,500원 | 등록일 2010.06.24
  • 레이어 팝업
  • 프레시홍 - 특가
  • 프레시홍 - 특가
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 07월 27일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:24 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기