• 통합검색(115)
  • 리포트(110)
  • 자기소개서(4)
  • 논문(1)

"vhdl 7segment" 검색결과 81-100 / 115건

판매자 표지는 다운로드시 포함되지 않습니다.
  • 논리회로설계실험 7-Segment 제어기 설계
    1.VHDL 코드library IEEE;use IEEE.std_logic_1164.all;use IEEE.std_logic_arith.all ... ;use IEEE.std_logic_unsigned.all;entity seg7 isport( clk_4m, rstb : in std_logic;seg : out std_logic ... downto 0) );end seg7; architecture behave of seg7 issignal clk_500 : std_logic := `0`;beginprocess(clk
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • VHDL을 이용한 신호등 설계 프로젝트
    을 센다.FND divider8개의 7segment의 출력을 담당한다.5. 데이터 Flow chart- 일련의 진행흐름을 위와 같이 표로 나타내었다. 위의 흐름도를 보면 여러개의 c ... 되어 있으며, 이 signal이 일련의 Process(파란색)를 통과하여 신호등과 7segment의 출력(빨간색)을 나타내는 구성을 바탕으로 하여 신호의 전달과정을 Flow chartf ... 를 이용하여 체계적으로 표현하였습니다.6. Quartus에서 표현한 회로 구성도7. VHDL을 이용한 코딩 & 분석-코딩분석은 의 Process를 바탕으로 설명
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • DECODER, ENCODER
    .HTM" FPGA 에디션 2.0'NVIDIA8800GT와 연동되어사용되고 있는 Xilinx-ML555실험목적BCD코드로 입력된 값을 7-segment로 표시할 수 있도록 디코딩 ... 한다. 한 개의 7-segment표시창은 0부터 9까지 표시하며 네 개의 표시창을 합하면 0000에서부터 9999까지 표시할 수 있다.Xilinx ISE Webpack의 기능 ... ▶ Describe its input output signals첫번째 표시창Input(BCD code)SelectorOutput(7-segment)0
    리포트 | 13페이지 | 1,000원 | 등록일 2010.03.26
  • Traffic Light Controller
    lock information을 활용하여 전체적인 시스템 운용에 사용▶ top_traffic신호등을 작동 시키는 main module이며 주 기능을 수행▶ segment횡단보드 신호등 ... 의 남은 시간을 15~0까지 count하는 역할을 수행▶ LED횡단보드 신호등의 남은 시간을 LED 8개를 통해 차례대로 켜졌다가 꺼졌다하며segment와 같은 남은 시간을 c ... 의 기본 시스템을 설정light_direction의 값이 방향을 지정해 줄 때 그 상황에 맞는 방향의 신호등의 불이 들어 올 수 있도록 해주는 부분이다.▶ segment- 횡단보드
    리포트 | 16페이지 | 5,000원 | 등록일 2012.03.11
  • VHDL을 이용한 디지털시계설계
    를 이용1) 디지털시계의 구성요소◇ 클럭(clock) : 1kHz의 주파수◇ 버튼 : 모드 선택버튼(1개), 설정 버튼(2개)◇ 7_segment : 시간표시, 스톱워치 시간 표시(8개 ... 설계2-4. 오전/오후 표시 회로 설계2-5. 시간 설정 회로2-6. 7-Segment2-7. 애노드 공통형 & 캐소드 공통형3. VHDL을 이용한 디지털시계4. VHDL ... Code5. 실험 결과 및 분석6. 실험방법(트레이닝 키트)7. 결론 및 느낀점1. 전체적인 디지털시계설계 소개- VHDL 구문을 이용하여 디지털시계를 설계하는 것이며 7-Segment
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • 7 segment를 이용해 학번과 이름 출력하기
    7 segment를 이용해 학번과 이름 출력하기by Han. S H1. 개요7 seament를 이용해서 입력을 했을 때 숫자와 알파벳이 출력되도록 한다.2. 방법1) 입력- s ... bit가 만족함으로 5bit 으로 지정한다.2) 출력- sel 항에 따라 출력값이 변하며 7seament는 7개의 LED등으로 구성 되면0일 때 꺼지고 1일 때 켜진다.고로 7bit ... - any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;entity segment
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.18
  • 한화 자소서
    인터넷과 서적의 7-segment 시계코드를 분석해 가며 이 문제를 풀기 위해 노력하였습니다. 결국 전 착시효과를 이용하여 LCD에 시계를 출력하면 된다는 사실을 알아냈 ... 시스템 언어인 VHDL을 활용한 Digital Watch, Code vision으로 코딩하여 완성한 자동 커튼 제어 시스템까지, 다양한 프로젝트를 완성해 왔습니다.제3의 언어 능력이 ... 야 말로 어학실력 못지않게 중요하다고 생각합니다. 전 영어를 원어민처럼 잘하진 못하지만, C, C++, VHDL 등, 어떠한 새로운 언어를 접하더라도 금새 익숙해지고, 원하는 결과
    자기소개서 | 2페이지 | 3,000원 | 등록일 2011.04.04
  • VHDL를 이용한 디지털 시계
    를 통해 0~9까지의 숫자를 선언할 수 있다. seg는 7segment를 선언해줄 내부 signal이다.③ Architecture 부분-여러 개의 process문으로 구성된다.- 내부 ... 의 signal로 선언해 주어야한다. seg는 7segment을 지정하기 위한 것으로 seg(7~0)이 seg(dp~a)순으로 지정되는 것이다.- DIGIT 선택 Processbeginprocess(sel)begincase sel iswhen "000" =>DIGIT ... 번 실험은 디지털 시계를 구성하는 것으로 4MHz의 오실레이터 clock을 분주하여 시계를 제작해야 된다. 이 때 시간을 나타내는 segment 2개, 분을 나타내는 segment
    리포트 | 19페이지 | 1,000원 | 등록일 2010.05.27
  • vhdl_응용논리_디지털시계
    1. 설계 목표 VHDL으로 프로그램 작성 후 이를 알테라DE2 보드로 실현시킨다. 7-세그먼트를 통해 기본적인 시계기능을 100분의 1초를 만들어서 구현한다. 또한 시간을 분 ... 을 나타내는 기능 위에 스위치를 누르면 100분의 1초를 구현한다.2. 설계 내용(1) 주요 하드웨어 구성• Cyclone : EP2C35F672C6N• Display : 7
    리포트 | 18페이지 | 1,500원 | 등록일 2011.10.24
  • 디지털 회로설계 실험 3주차 레포트
    1. Decoders and Encoders2. 실험목표1. 일반적인 binary decoder의 동작 원리를 이해한다.2. 7-segment decoder의 동작원리를 이해 ... 을 알아본다.3-4. 74LS47 : 7-segment decoder그림 3.17과 같이 74LS47을 연결하고 SW20~23의 조합을 통해서 7 segment LED A에 나타나 ... SW 0~3 , SW 20~23 을 그림과 같이 연결하여 입력의 우선순위에 따른 출력의 동작을 알아본다.3-6. FPGA 구현위의 실험 74LS47: 7-segment decoder
    리포트 | 16페이지 | 2,000원 | 등록일 2008.12.01
  • 디지털 공학 설계프로젝트 팀별 최종 발표 PPT
    DECODER : 입력에 따라 7-Segment를 작동시킨다.*VHDL Codeentity TOP is port (CLK : in std_logic; SW1 : in std ... _vector (6 downto 0); --7segment의 LED LED_HL : out std_logic_vector (6 downto 0); LED_MH : out std ... 10을 상위 자리 1를 하위 자리로 한다. 출력은 7-Segment를 이용하여 표현한다. SEG_H10[6~0] : 시 표시의 상위 자리 SEG_H1[6~0] : 시 표시의 하위
    리포트 | 9페이지 | 1,000원 | 등록일 2010.10.23
  • [디지털논리회로] StopWatch verilog로 설계하기
    ;//리셋 버튼input clock;//클럭output [6:0] led1;//아웃풋 segment 처음 LEDoutput [6:0] led2;output [6:0] led3;output ... [6:0] led4;output [6:0] led5;output [6:0] led6;output led_second;reg [6:0] led1;// 7-sec에 대한 레지스터 ... [디지털논리회로실험 기말프로젝트]Stopwatch VHDL로 만들기(due date:)1. Verilog HDL 소스 및 주석module StopWatch(led1, led2
    리포트 | 8페이지 | 2,000원 | 등록일 2008.12.07
  • 7세그멘트
    7-Segment디지털회로에서 숫자를 표시하기 위하여 가장 많이 사용하는 소자이다.이름에서 알 수 있듯이 7개의 LED(Light Emitting Diode)를 이용하여 왼 쪽 ... 그림과 같이 숫자를 디스플레이 하는데 많이 사용된다.7-Segment는 크게 두 가지로 나눈다. 공통 양극(common anode)과 공통 음극(common cathode)이 ... 다. 7개의 LED의 어떤 극을 공통으로 놓느냐에 따라 종류를 나눌 수 있다. 아래 그림에서 (a),(b)는 각각 공통 양극, 공통 음극 7-Segment 내부 회로이다.또한 이런 7
    리포트 | 2페이지 | 1,000원 | 등록일 2008.04.25
  • [디지털회로]VHDL을 통한 자판기 구현 PPT
    도3 VHDL 코드 설계library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ... vendino 0)); end vendingmachine; architecture rtl of vendingmachine is --segment display function ... _vector(6 downto 0); begin3 VHDL 코드 설계case cnt is when 0 = seg_decode := 0111111 ; when 1 = seg_decode
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • [논리회로]VHDL을 이용하여 구현한 Binary to BCD Converter
    Binary 코드를 종종 BCD 코드로 변환해야할 필요가 있을 경우가 있다.예를 들어 Binary값을 7 segment에 출력을 해야할 경우가 그런 예라고 할 수 있 ... 하므로 그중 하나를 선택하기 위한 여러개의 먹스가 필요하게 된다.이러한 문제점을 해결하고 큰 범위의 Binary값을 BCD로 변환하는데 사용되는 알고리즘으로 shift add3 이 ... 라는 알고리즘이 존재한다. 이 shift add3 알고리즘을 여러 비트에 적용하여 한 사이클에 값을 구하기 위해서는 다수의 shift add3 모듈이 필요하게 되는데 본 구현
    리포트 | 6페이지 | 3,000원 | 등록일 2008.05.15 | 수정일 2021.06.28
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    시계의 기능 / 작동원리 각 부에서 나온 신호를 7-segment로 표시.LED 부분 Alarm 은 시간과 분으로 설정  Stop Watch 의 시작,정지의 두 가지 상태 ... ; end sample; 알람 ON/OFF LED알람 설정부분library ieee; --분리한 각 숫자를 7-segment 배열에 표시하는데 사용한다. use ieee.std ... logic시계 모드Mux7 seg ment스탑 워치 모드sw1 Sw2 sw3 clk내부구조설계 및 구현방법알람 모드알람 설정sw0시간 조절 버튼sw2sw1sw1sw0sw3sw1sw0알람
    리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • 엘레베이터 제어기 vhdl로 구현 단일 엘리베이터
    를 제거하기 위하여 엘리베이터 의 상황이 사용자 가시적으로 보인다면 이런 심리적 불안요소가 제거 될것이다. 이를 위해 엘리베이터 위치를 표시하기 의한 7-segment, 내부 층 표시 ... downto 0);-- buz is soundbuz :out std_logic;-- output 7segment for elevator location?seg_floor : out ... 기 구현 머그까이꺼 쯤, 게다가 평소에 VHDL에 관심이 있어서 조금씩끄적거리고 있었던 터라 만만 하게 보고 준비를 별로 하지 않고 제출 날짜가 임박해서야 term 설계를 시작 했
    리포트 | 17페이지 | 1,500원 | 등록일 2008.02.26
  • VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    dec_7_seg( bcd : integer range 0 to 9) return std_logic_vector is-- 7 segment decoder variableres ... 까지 : 실험 및 평가 12 월 7 일까지 : 과제물 제출 12 월 20 일까지 : 최종 제출일스톱워치 reset set strtstop 알람 시간설정 설정 초기화 시작 / 정지 알람설정 ... : bufn10: out std_logic_vector (7 downto 0) );-- 7-Segment for 10 min end Timer_m_3BT;architecture Timer
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • 자판기
    VHDL 을 이용한 자판기VHDL 코드 library ieee ; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all ... ; architecture rtl of vendingmachine is --segment display function function dis_seg ( cnt : integer range 0 to ... = seg_decode := 1111101; when 7 = seg_decode := 0100111; when 8 = seg_decode := 1111111; when 9 = seg
    리포트 | 11페이지 | 1,500원 | 등록일 2009.10.10
  • 7segment
    Digital Logic디지털 논리-7 segment -과목명 : 디지털논리교수님 : 김명규 교수님학 과 : 컴퓨터정보공학과이 름 : 2006122148 송정호제출일 : 2008 ... 에서 발견할 수 있다. BCD-7세 그먼트 디코더(BCD to 7segment decoder)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성 ... 입력 후 2번 핀에 "0"이 들어오면 7Segment의 a에 해당하는 segment 에 불이 들어온다.ㆍCathod 형전원 핀(1번)에 GND를 연결하고 입력으로 논리적인 "1
    리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 07일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:15 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감