• LF몰 이벤트
  • 캠퍼스북
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

ASIC프로젝트 두더지 게임

*상*
최초 등록일
2007.12.04
최종 저작일
2007.12
12페이지/파일확장자 압축파일
가격 10,000원 할인쿠폰받기
다운로드
장바구니

소개글

verilog-HDL언어를 기초로 DE-2 BOARD를 사용하여 간단한 6-HOLE에서 나오는 두더지를 잡는 게임을 만들어 보았다. 총 버튼은 6개로서 6구멍에 나오는 두더지를 잡는 것에 그 목표가 있다. 두더지를 잡았을 경우는 두더지의 얼굴색으로 표현을 하였다.

컴파일 실행환경

active-HDL

압축파일 내 파일목록

1번 웃음.txt
1번 적중.txt
2번 웃음.txt
2번 적중.txt
3번 웃음.txt
3번 적중.txt
4번 적중.txt
4번웃음.txt
5번 적중.txt
5번웃음.txt
6번 적중.txt
6번웃음.txt
active-hdl source.zip
ASIC.hwp
asic설계실험 ppt.ppt
PPP_AHIGH_TLE_3dthing.avi
PPP_AHIGH_TXT_3dthing.avi
Quartus source.zip
기본 나온 모양.txt
기본모양.txt
나오기 완료.txt

참고 자료

없음
*상*
판매자 유형Bronze개인

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우
최근 본 자료더보기
탑툰 이벤트
ASIC프로젝트 두더지 게임
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업