• AI글쓰기 2.1 업데이트
  • 통합검색(513)
  • 리포트(490)
  • 시험자료(15)
  • 논문(4)
  • 자기소개서(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"TD신호" 검색결과 1-20 / 513건

  • [부산대 결과보고서] 압력제어 실험
    하지 않는 자동 제어를 수행하기 위해서는 출력 신호를 측정하는 감지기가 필요하다. 자동 제어는 출력 신호가 감지기에 의해 입력 단에 되먹여지는 되먹임 제어 시스템이다. 제어 시스템 ... 감도는 전달함수의 기울기를 말한다. 전달함수란 센서의 물리적 입력신호와 출력신호 사이의 함수적 관계를 이른다. 센서의 입출력 관계가 직선으로 되면 전달함수는 y=Sx 함수로 쓰 ... 출력 신호의 시간 응답 특성을 나타낸다. 측정 상승 시간으로 정의하는데, 대부분의 경우 90%에 도달하는 시간을 정의한다. 반대로 입력을 급격히 줄였을 때의 특성을 감쇠 시간이
    리포트 | 25페이지 | 3,000원 | 등록일 2024.09.24 | 수정일 2025.08.06
  • 부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
    [수행 및 제출(2)][수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.library ieee;use ieee.std_logic_1164 ... .all;use ieee.std_logic_arith.all;entity traffic_sign isport( clk,standby,test : in std_logic; segR1 ... , segY1, segG1 : out std_logic_vector(6 downto 0);segR2, segY2, segG2 : out std_logic_vector(6 downto
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    .소스코드 설명60진1~3)std_logic, std_logic_vector 자료형과 + 연산을 위한 패키지 선언.5~10)비동기 신호인 nRst와 clk 선언. 60진 이므로 십 ... 로 사용했던 변수를 출력 포트에 대입.24진1~3)std_logic, std_logic_vector 자료형과 + 연산을 위한 패키지 선언.5~9)비동기 신호인 nRst와 clk 선언 ... 인 펄스 하나를 만들 수 있다.시뮬레이션에서는 1초를 볼 수 없기 때문에 부호를 2,500번째 클럭에서 바뀌도록 했다.2.소스코드 설명1~2)std_logic 형 선언을 위한
    Non-Ai HUMAN
    | 리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • VHDL-1-가산기,감산기
    )0000101001112.1 소스코드 설명(VHDL)소스코드설명library ieee;use ieee.std_logic_1164.all;entity Half_Adder isport( X : in ... std_logic;Y : in std_logic;S : out std_logic;C : out std_logic);end Half_Adder;architecture ... 할 신호를 정의해준다.-- 만들어두었던 반가산기를 이용하기위해 포트맵으로 지정해준다.-- 반가산기와 마찬가지로 OR 게이트도 지정한다.-- X, Y의 입력으로 만들어지는 반가산기의 출력
    Non-Ai HUMAN
    | 리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 이화여자대학교 생과실3 A+ report
    -dependent(TD) 항원과 만나게 되면 B세포는 우선 세포의 표면에 위치하던 IgM을 통해 그 항원을 인식하여 세포 내부로 신호를 보낸다. 이후 동일한 항원을 MHC2 ... cell의 Antibody 생성을 통해 이루어지는 체액성 면역 반응에 대해 다룬다.이 실험의 목적은 Stimulator 조건에서 WEHI cell(mouse B cell)에 TD ... 내부로 전해진다. 이런 일련의 과정을 통해 두 가지의 신호를 받아 최종적으로 B세포가 활성화된다. 반면에 LPS, capsule polysaccharide 등 Thymus
    리포트 | 13페이지 | 3,000원 | 등록일 2024.07.02
  • 11주차 예비보고서 8장 OP AMP를 활용한 미분기,적분기 예비 보고서
    오V1 : 첫 펄스의 전압 값이다.V2 : 두 번째 펄스의 전압 값이다.TD : 신호가 출력될 때 첫 신호가 출력되기 전에 delay를 주는 기능이다.TR : 신호가 낮은 값 ... 에서 높은 값으로 올라갈 때 걸리는 시간을 나타낸다.TF : 신호가 높은 값에서 낮은 값으로 내려갈 때 걸리는 시간을 나타낸다.PW : PER의 절반 , 펄스 진폭의 길이를 나타낸다
    리포트 | 1페이지 | 2,000원 | 등록일 2023.02.24 | 수정일 2023.03.14
  • [A+] 중앙대 아날로그 및 디지털 회로설계실습 위상제어루프(PLL) 예비보고서
    (PLL) >우리는 전자기학 및 통신공학 시간에 유선 혹은 무선 상으로 신호를 보내면 신호경로와 매질의 특성에 따라 신호 지연이 발생함을 알고 있다. 이러한 위상의 차이 ... ynchronization)가 필요하다. 바로 이렇게 주기적 신호의 위상을 원하는 대로, 고정시켜주기 위해 만들어진 회로가 과 같은 위상 제어 루프 (Phase Locked Loop ... ) >1) 위상 검출기 (Phase Detector)위상 검출기는 Phase Detector라 불리며, 두 입력 단자로 주파수 신호를 받아 두 신호 (PHI _{1} `,` PHI
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 1,500원 | 등록일 2021.09.01
  • 논리회로실험(VHDL 및 FPGA실습) 이론 및 실험결과 레포트
    Behavioral of test1 is -- test1의 동작을 선언해줄 architecturesignal d : std_logic; -- 내부신호 d를 std_logic으로 선언 ... -- test1이라는 entity 생성port( x: in std_logic;y: in std_logic;z: in std_logic;s: out std_logic); -- x,y ... ,z를 각각 std_logic인 input, s를 std_logic인 output으로 선언하는 port문end test1; --test1 entity 종료architecture
    Non-Ai HUMAN
    | 리포트 | 53페이지 | 8,000원 | 등록일 2022.01.25 | 수정일 2022.02.08
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    에 arith, unsigned 라이브러리를 불러와서 더하기 연산을 했고 문제가 없었다. 그런데 입력 신호를 std_logic이 아닌 unsigned형으로 해보려고 하니 xor, and ... 실습제목: 8X1 MUX1. 주제 배경 이론멀티플렉서는 여러 개의 입력을 받고 Selcet 선에 신호를 인가하여 어느 신호를 보낼 것인지 선택할 수 있다. 8X1 MUX의 진리표 ... . 주제 배경 이론비교기는 입력되는 두 신호를 받아서 신호의 크기를 비교한다. 그래서 같거나 대소 관계를 파악하여 출력신호를 내보낸다. 4bit 비교기의 진리표는 다음과 같다.입력
    Non-Ai HUMAN
    | 리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • [A+]중앙대 아날로그및디지털회로설계실습 예비보고서6 위상 제어 루프(PLL)
    위상을 입력 신호의 위사오가 비교하여 두 입력의 위상 차이를 가지고 전압제어 발진기를 제어하는 피드백 시스템이다. 출력 신호의 위상을 입렵 신호의 위상에 고정하게 되면 출력 주파수 ... 는 입력 신호의 주파수로 고정되게 된다. PLL은 위상 검출기(Phase Detector), 루프 필터(Loop Filter), 가변 발진기(Voltage Controlled ... 의 위상을 비교하여 그 차이에 해당하는 파형을 출력한다. 루프 필터는 위상 검출기에서 검출된 신호가 Low Pass Filter를 통과하면서 직류에 가까운 전압으로 만든다. 가변 발진
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,000원 | 등록일 2021.10.09
  • 논리회로설계실험 라인트레이서 레포트
    ) 적외선 센서의 원리센서란 물리량이나 화학량 등을 전기적 신호로 변환해주는 장치를 말한다. 적외선 센서는 일정한 주파수의 빛을 발산하는 발광센서와 발산된 빛을 받아들이는 수광센서로 구성 ... 을 수광할 때 켜지게 된다.적외선 센서 모듈의 뒷면에 있는 7개의 흰색 다이오드가 적외선을 발산하는 발광센서이다. 적외선 발광센서는 hight를 나타내는 1 신호가 인가될 때 적외선 ... 을 발생시키게 된다. 아래쪽에 검은색으로 보이는 7개의 다이오드는 물체에서 반사된 빛을 받아들이는 수광센서이다.2) 소스 코드 및 설계과정선언부entity 부분에 3개의 입력 신호
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 울산대학교 전자실험예비3 반파 및 전파 정류회로
    } int _{0} ^{pi } {V _{m} sin omega td( omega t)=0.636V _{m}}(3-2)여기서 정현파 신호의 최대값이 VTh보다 훨씬 크지 않을 경우 ... } int _{0} ^{pi } {V _{m} sin omega td( omega t)=0.318V _{m}}(3-1)그림 3-2는 전과 정류기의 출력전압을 보인 것이다. 출력전압 ... 의 평균치는 식 (3-2)와 같이 반과 평균값의 2배로 최대 신호 Vm의 63.6%이다. (실제로는 반파들이 붙어있지 않고 조금 떨어져있음)V _{dc} = {1} over {pi
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 2,000원 | 등록일 2021.03.20
  • (A+/이론/예상결과/고찰) 아주대 통신실험 결과보고서12
    로 둔다. 시간축은 5ms/div로 둔다. 출력 주파수를 1.75kHz로 둔다. 그림 4.22와 유사할 것이다. TD와 RD 신호의 함수에 이름을 부여한다.20. SELECTOR1 ... FSK 신호를 채널1에 출력시킨다. TP12와 TP15, TP16을 FSK 모뎀 앞에 위치시킨다. Signal Interruptor/Selector의 SELECTOR2를 이용 ... 하여 TEST POINTS에서 연속적으로 신호를 나타낸다. 어떤 지점에서 신호가 없어지는지 설명한다.[ TP12 ][ TP15 ][ TP16 ]17. 채널2를 5V/div로 설정
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 1,500원 | 등록일 2021.10.24
  • 판매자 표지 자료 표지
    B세포의 활성화와 ELISA 기술을 활용한 항체의 정량적 분석 실험 보고서
    개입하지 않아도 두가지의 신호가 충분히 도달하여 B세포가 활성화될 수 있다.B세포가 특이적 항원을 만나 활성화 된 후 일어나는 class switching 과정에 TH cell ... 이 관여한다. TH cell이 분비하는 사이토카인에 의한 것이다. 따라서 TD antigen이 B세포와 반응하는 경우에만 첫번째로 분비되는 IgM 이외의 IgG, IgA 등 다른 종류 ... B세포의 활성화가 일어나도 IgM 항체가 상대적으로 적게 생산될 것을 예측할 수 있다. 은 TD antigen 임에도 불구하고 B세포의 CD40에 결합하는 CD40(T helper
    리포트 | 10페이지 | 2,500원 | 등록일 2023.08.31
  • 아주대 전자회로실험 실험3 적분회로 예비보고서
    와 +인 주기를 측정한다.- 삼각파 설정방법Pspice에서 삼각파가 없어 Pulse를 이용하여 삼각파를 만들어야하는데, 이때 설정해야되는 값은 V1, V2, TD, TR, TF ... , PW, PER이 있다. 이 값을 하나씩 살펴보면 V1, V2는 각각 신호의 최대전압, 최소전압을 의미하고, 실험 1에서는 입력 삼각파의V _{p-p}전압을 1V로 설정해야하므로V ... _{min} =-0.5V,V _{max} =0.5V가 되므로 V1=-0.5V, V2=0.5V로 설정하면 된다. 다음으로 TD, PW는 각각 Time Delay와 Pulse
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2021.07.22
  • 판매자 표지 자료 표지
    NMR을 이용하여 타이레놀 성분중 아세트아미노펜의 구조 분석
    에 측정 목적에 따른 트랜스미터의 라디오파를 조사하고 시료로부터 발생하는 NMR 신호를 받아서 기록할 수 있도록 전달하는 리시버 코일이 감겨있다.- 콘솔(Console)과 워크스테이션 ... 적인 채널이 있어야 한다. 시료에서 발생하는 NMR 신호들은 프리앰프, ADC 변환기를 거쳐 워크스테이션으로 전달되어 저장되게 된다.3. 타이레놀 성분- 타이레놀 500 mg 1정의 ... - Acquisition ParametersDate_ 20190510Time 9.48 hINSTRUM AvancePROBHD Z325801_0008 (PULPROG zg30TD 65536
    리포트 | 7페이지 | 2,500원 | 등록일 2023.08.09
  • 아날로그및디지털회로설계실습 7주차 위상제어루프
    하고 실제 사용되는 분야에 대해 서술한다.전압제어 발진기의 출력 신호와 기준 신호가 위상 검출기를 통과하여 위상 차이에 해당되는 파형이 루프 필터에 인가된다. 루프필터는 LPF이 ... 므로 위상 검출기의 저주파성분인 평균 전압만을 출력으로 내보내는데 이 값이 전압 제어 발진기의 입력으로 들어가는 loop를 구성하게 된다. 발진기의 출력 주파수와 기준 신호의 주파수 ... 가 다를 경우 두 신호의 위상차에 의해 위상 검출기의 출력 파형은 계속 변하게 되고 이에 따라 출력 전압도 계속 변하게 된다. 이러한 동작은 발진기의 출력 주파수와 기준 신호의 주파수
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,000원 | 등록일 2021.12.15
  • [한양대 기계공학부] 동역학제어실험 실험1 진동 신호의 시간 및 주파수 영역 해석 A+ 자료
    실험 레포트실험 1진동 신호의 시간 및 주파수 영역 해석과목명.동역학제어실험1(10651)제출일.2022. 09. 20.공과대학 기계공학부X학년, 학번 20XXXXXXXXO O ... 을 익힘과 동시에 시간 영역(time domain)에서의 신호가 주파수 영역(frequency domain)에서는 어떻게 해석되는 지 그 결과를 이론적으로 예측하고 실제로 주파수 ... } ^{INF } {A( omega )cos omega td omega +2 int _{0} ^{INF } {B( omega )sin omega td omega }}이 식을 Fourier
    리포트 | 13페이지 | 3,000원 | 등록일 2023.01.07
  • [비대면] 전자전기컴퓨터설계실험1 3주차4주차 통합 레포트 (시립대) (전전설1)
    전전컴설계실험-1예비리포트-3실험 3주차 – 신호발생기 및 오실로스코프 사용법 2[추가 과제] 아래와 같은 RC회로가 있을 때= 10V, R=1Ω, C=1F 이다. t=0s ... Transform) 기능도 있는데 이를 사용하면 시간 영역의 신호를 주파수 성분으로 변환할 수 있다.FFT 모드를 사용하기 전에 시간영역을 설정해야 하는데 그 과정은 다음과 같다.1 ... 는 각각 첫 전압과 두번째 전압을 뜻하고 위 예시에서는 3V와 0V가 주기적으로 이어지며 펄스파를 구성한다. TD는 Delay time(초기 지연시간)을 의미한다. TD=1ms
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,000원 | 등록일 2021.03.07
  • 전자회로실험 과탑 A+ 예비 보고서 (실험 22 연산 증폭기 특성)
    하였다. 초기전압 V1 = 0, 최종 전압 V2 = 1, 지연 시간(신호 시작 시간) TD = 1m, 상승시간 TR = 1n으로 설정해 스텝 입력을 표현한 모습이다. ‘슬루율 ... 며 공통 모드 출력 전압을 측정하고 이득을 계산한 결과이다. 주파수에 따라 이득이 변함을 알 수 있었다. 4. 입력의 공통 모드 전압을 중심으로 10kHz 정현파 차동 입력 신호 ... 드 선도를 그리시오. 10kHz 정현파 차동 입력 신호를 인가하고 크기를 0.01~ 0.1V까지 증가시키면서 측정한 결과 보드 선도를 그리기 위해 차동모드 주파수를 변화시키며 측정
    리포트 | 10페이지 | 1,500원 | 등록일 2024.12.19
  • 전문가 요청 쿠폰 이벤트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 08일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:48 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감