• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)

타라우
개인인증판매자스토어
최초 등록일
2022.02.05
최종 저작일
2021.12
6페이지/파일확장자 어도비 PDF
가격 4,000원 할인쿠폰받기
다운로드
장바구니

소개글

"부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)"에 대한 내용입니다.

목차

없음

본문내용

[수행 및 제출(2)]
[수행 및 제출(1)]에서 작성한 상태도에 따라 교통신호등 제어기를 VHDL로 설계하시오.
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity traffic_sign is
port( clk,standby,test : in std_logic;
segR1, segY1, segG1 : out std_logic_vector(6 downto 0);
segR2, segY2, segG2 : out std_logic_vector(6 downto 0));
end traffic_sign;
architecture design of traffic_sign is
constant RGtime : integer:= 10;
constant RYtime : integer:= 3;
constant GRtime : integer:= 15;
constant YRtime : integer:= 3;
constant TESTtime : integer:= 2;

참고 자료

없음
타라우
판매자 유형Bronze개인인증
소개
회원 소개글이 없습니다.
전문분야
공학/기술
판매자 정보
학교정보
비공개
직장정보
비공개
자격증
  • 비공개

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
부경대 전자공학과 디지털시스템설계 기말2(교통 신호등)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업