• AI글쓰기 2.1 업데이트
  • 통합검색(1,469)
  • 리포트(1,343)
  • 방송통신대(51)
  • 시험자료(38)
  • 자기소개서(19)
  • 논문(11)
  • 이력서(3)
  • 노하우(2)
  • 서식(1)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Library3.0" 검색결과 1-20 / 1,469건

  • Digital Library web 2.0 3.0
    웹 3.0 새로운 세상이 온다 Division of Knowledge and InformationContent 1 웹 3.0 이란 ? 웹 상에서 데이터 연결 2 3 웹 3.0 ... 의 키워드 : 시맨틱 웹 시맨틱 웹 정보 기반 서비스 4 웹 2.0 의 한계와 웹 3.0 의 등장 0Intro 웹 1.0 은 인터넷에서 문자 , 영상 , 음성 등을 표현할 수 있 ... 는 기술 웹 2.0 은 싸이월드나 마이스페이스 등과 같이 참여ㆍ공유ㆍ개방의 철학적 명분을 통해 새로운 가치를 창조하는 웹 트렌드 그렇다면 웹 3.0 은 어떤 웹 트렌드를 의미
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 2,000원 | 등록일 2011.05.13
  • 대학도서관 업무의 시대별 변천에 따른 특성 연구 (A Study on the Characteristics of Jobs in Academic Libraries According to Different Generations)
    한국비블리아학회 조철현
    논문 | 36페이지 | 무료 | 등록일 2025.05.11 | 수정일 2025.05.18
  • dCollection의 링크드 데이터 구축에 관한 연구 (A Study on Configuring dCollection as the Linked Data)
    한국도서관·정보학회 노영희
    논문 | 25페이지 | 무료 | 등록일 2025.04.14 | 수정일 2025.05.09
  • 건축설계 대지분석_복정동
    Lproject of library in Bokjeong -dong ㅣ 0 2 ㅣ Physical site Characteristics- Topography N 유치원 1 개 , 어린이집 3 ... 녹지 지역 반경 :1km 713m 송파구 자원 순환 공원 N복정동에 대한 대지분석 Lproject of library in Bokjeong -dong ㅣ 0 3 ㅣ Climate ... of library in Bokjeong -dong ㅣ 0 3 ㅣ Climate- solar radiation 낮 동안 사이트에 햇빛이 잘 들기때문에 이를 활용할 공간 필요 7
    ppt테마 | 10페이지 | 2,000원 | 등록일 2024.05.29
  • 판매자 표지 자료 표지
    방통대 통계데이터과학과 R데이터분석 A+
    종료 시점에서의 성과점수(t2)의 평균에 차이가 있는지 검정하시오.▶ 스트레스 그룹별 성과 점수의 차이가 있다. p-value = 0.002823 library(lawstat ... :Min 1Q Median 3Q Max-0.56676 -0.15440 0.05065 0.15849 0.46519Coefficients:Estimate Std. Error t ... value Pr(>|t|)(Intercept) 4.3801 0.8568 5.112 3.74e-06 ***x 0.2231 0.1516 1.472 0.147---Signif. codes: 0
    방송통신대 | 6페이지 | 3,000원 | 등록일 2024.01.25 | 수정일 2024.02.19
  • 판매자 표지 자료 표지
    비전공자도 합격하는 ADSP - R 프로그래밍 편
    .983rd Qu.:19.0 3rd Qu.: 56.00Max. :25.0 Max. :120.00위에서 파란색은 사용자가 입력하는 코드이고 초록색은 주석 (# 뒤에 쓰면 코드로 인식을 안하기 때문에 마음껏 쓸 수 있다), 검정색은 코드를 치면 나오는 설명이다. ... 한다.> library(MASS) # library 에 Mass 를 넣는다.> str(cars) # library Mass 중 cars 의 데이터를 일렬로 나열해서 보여준다.'data.frame ... distMin. : 4.0 Min. : 2.001st Qu.:12.0 1st Qu.: 26.00Median :15.0 Median : 36.00Mean :15.4 Mean : 42
    노하우 | 52페이지 | 5,000원 | 등록일 2024.12.21
  • 방송통신대학교 통계데이터학과) 데이터시각화 기말과제물 (50점 만점 A+)
    (breaks=datebreaks, expand=c(0,30)) + theme(axis.text.x=element_text(angle=270, size=10))3. 교재 7장 4절 ... 783pixelR코드:> setwd("C:/R/ne_110m_admin_0_countries")> library(sf)> library(ggplot2)> NE.countries ... : 177 × 169featurecla scalerank LABELRANK SOVEREIGNT SOV_A3 ADM0_DIF LEVEL TYPE TLC ADMIN ADM0_A3
    방송통신대 | 5페이지 | 6,000원 | 등록일 2024.12.11
  • 판매자 표지 자료 표지
    Current status and Improving method of collections disposals in Public Library Management
    -41.5-88.3-150.7Incheon-5.8-16.4-54.9-106.2Guangzhou-10.4-21.5-61.7-115.3Daejeon+1.6-8.3-44.0-91.2 ... Ulsan-49.7-64.6-119.2-191.8Gyeonggi-5.0-15.5-53.7-104.6Kangwon-31.2-44.3-92.1-155.7Chungbuk-0.7-10.8-47 ... .5-96.3Chungnam-11.0-22.1-62.5-116.3Jeonbuk-10.0-21.0-61.0-114.3Jeonnam-27.4-40.1-86.5-148.3Kyungpook
    리포트 | 20페이지 | 2,000원 | 등록일 2023.08.29
  • 판매자 표지 자료 표지
    방송통신대학교 출석수업과제물(R데이터분석)
    , 1, 0)))summary(run.fm)3. 여성인 참가자만 고려했을 때, 2번 문항에서 생성한 나이 그룹 별로 경기 기록(net_time)의 분포가 어떻게 다른지 나타내는 상자 ... (last name)가 Park인 참가자는 총 몇 명인가? (3점)그림입니다.원본 그림의 이름: 스크린샷 2025-10-24 164104.png원본 그림의 크기: 가로 885pixel ... , 세로 845pixel답: 총 6명이다코드:install.packages("cherryblossom")library(cherryblossom)summary(run09)sum
    Non-Ai HUMAN
    | 방송통신대 | 7페이지 | 3,000원 | 등록일 2025.12.07
  • 아동간호학 A+ 케이스스터디(교수님 칭찬&꼼꼼한 간호과정) - 가와사키(간호진단 4개, 간호과정 2개)
    - 3~5세 아동의 일일 권장열량인 1,400kcal를 섭취할 수 있도록 격려함.- 처음에는 부드러운 음식으로 시작할 것을 교육함.간호평가3/2 11:00 BT 37.0℃ 측정 후 ... .02.27~03.02연령3세부45세, 고졸 이상, 자영업종교무교모39세, 고졸 이상, 주부진단명Mucocutaneous lymph node syndrome (Kawasaki)2 ... . 과거력a. 출생력 : 분만형태 IUP full term NSVD (자연분만) 출생 시 체중 3.2kg 특이사항 없음b. 병력 : □없음 ■있음 (한달 전, 장염)c. 입원경험
    리포트 | 16페이지 | 3,000원 | 등록일 2024.07.21 | 수정일 2024.07.29
  • 판매자 표지 자료 표지
    zaha hadid 건축가 분석
    목 차 ZAHA HADID. 건축적 특징 3. Vienna University of Economics Library and Learning Centre1950 년 이라크 ... University of Economics Library and Learning Centre _ 3F PLAN ① ② ③ ④ ① _ 서비스 공간 ② _ 경영 연구실 ③ _ 도서관 ... 월간 건축문화 ( M onthly issue) 2. https:// www.youtube.com/watch?v=d1sooM0igcY 3 . http://rolandhalbe.eu
    리포트 | 28페이지 | 2,500원 | 등록일 2025.07.29
  • 판매자 표지 자료 표지
    2022학년도 멀티미디어 중간과제물(내가 사는 지역 관공서 5가지 소개)
    , MY KOREA 한국의 관공서 소개재생시간01:59배경음악Ceremonial Library - Asher Fulero목적한국시의 관공서 다섯 가지 소개목차1. 자기소개2. 관공서 ... 소개-1. 한국시 소개-2. ㅁㅁ동 관공서 소개-3. @@동 관공서 소개3. 마무리1. 자기소개S#영상설명나레이션 및 문자열시간1표지 이미지- 표지안녕하십니까. 컴퓨터과학과 ㅇ학년 ... ㅇㅇㅇ입니다. 지금부터 제가 살고있는 한국시와 주변 관공서 5곳을 소개하겠습니다.0:00~0:102-1. 한국시 소개2한국시 전경 모습- 한국시 소개한국시의 관공서를 소개하기 전 간단하게 한국시에 대해 소개하겠습니다. 한국시는 ㅇㅇ도에 위치한 도시입니다.
    방송통신대 | 4페이지 | 5,000원 | 등록일 2025.02.08
  • 방송통신대학교 통계데이터학과) 파이썬컴퓨팅 출석수업과제물 (30점 만점 A+)
    되면서 파이썬의 서막이 열렸다. 그 이후, version 2.0, 3.0을 거쳐 현재는 version 3.21.1까지 꾸준히 발전해왔다.묶음 개체입니다.파이썬이 발전하게 된 중요한 계기 ... tudent_id[-3:]last_three_number_int = int(last_three_number)if last_three_number_int % 2 == 0:print ... "{number}: ‘짝수입니다.’")else:print(f"{number}: ‘홀수입니다.’")결과:2: ‘짝수입니다.’0: ‘짝수입니다.’2: ‘짝수입니다.’4: ‘짝수입니다.’3
    방송통신대 | 6페이지 | 3,000원 | 등록일 2024.12.11
  • 판매자 표지 자료 표지
    Lifetime of Fluorescence
    3.1 Riboflavin pH 1 540 0.699 0.6 0.05(a) 4 540 4.87 5.11 0.05(b) 7 540 4.64 5.06 0.08 10 540 4.07 5.72 ... level, electrons can undergo various pathways. For an electron in the S0 state, initial excitement ... . Here, the electron can directly relax into S0 state, which results in fluorescence, or it may first
    리포트 | 9페이지 | 2,500원 | 등록일 2024.11.15
  • 방송통신대학교 통계데이터학과)바이오통계학 중간과제물 (30점 만점 A+)
    , post.CA19.9.binary, post.CA19.9.3grp), as.factor)> summary(data1)> library(ggplot2)> ggplot(data1) ... 은 무엇인가? (3점)H _{0}: 흡연자 모집단의 평균 수축기 혈압과 비흡연자 모집단의 평균 수축기 혈압은 차이가 없다.(2) 대립가설은 무엇인가? (단, 어느 쪽이 더 크 ... 중간과제물 과제명2024학년도 2학기개설학과통계·데이터과학과교과목명바이오통계학이름오 영 택학년/학번3학년/*************. 만 7세 남자 아동의 평균 몸무게를 추정하기
    방송통신대 | 4페이지 | 3,000원 | 등록일 2024.12.11
  • 최근 국립중앙도서관은 MARC에서 BIBFRAME으로 자원의 표현방식을 변경하기 위한 준비를 하고 있다
    의 사례, 특징 및 장점에 대해 기술하시오.-목차-Ⅰ. 서론Ⅱ. 본론1. BIBFRAME의 개요2. BIBFRAME의 구성요소3. BIBFRAME의 특징 및 장점4. LC ... (Library of Congress)의 BIBFRAME 적용 사례Ⅲ. 결론IV. 참고문헌1. 서론현대사회에서의 디지털사회로의 전환은 도서관을 포함하여 각종 정보기관에서의 정보 자원에 대한 ... Initiative)이라고 할 수 있는데 구체적으로 미국 의회도서관(Library of Congress, 이하 LC)에서는 지난 2011년부터 BIBFRAME 프로젝트를 추진해오고 있
    리포트 | 5페이지 | 2,000원 | 등록일 2026.01.16
  • 바이오통계학 2024년 2학기 방송통신대 중간과제물)만 7세 남자 아동의 평균 몸무게를 추정하기 위하여 만 7세 남자 아동 100명을 모집하여 몸무게를 측정하고 평균을 계산하였다. 다음의 개념이 각각 모집단, 표본, 모수, 통계량 중 무엇에 해당 등
    고 저장하기setwd("C:/Users") # 작업 디렉토리 설정dat0 %) 사용.library(dplyr)dat1 % mutate_at(vars(sex, Recur, stage ... 에 데이터를 읽어들이고 저장하시오. (힌트: 교재 9쪽 프로그램 1-3에서 1행을 본인의 컴퓨터 환경에 맞게 수정하고 실행하여 작업 디렉터리를 설정한 후, 2, 3행을 실행한다.) 교재 ... 암 환자 156명 전체의 수축기 혈압의 중앙값을 구하시오. R 명령문을 같이 제출하시오. (4점)(3) 이 데이터에 포함된 156명이 대표하는 모집단의 평균 수축기 혈압에 대한
    방송통신대 | 7페이지 | 9,000원 | 등록일 2024.09.09 | 수정일 2024.09.27
  • 부경대 전자공학과 디지털시스템설계 과제(수의 정렬)
    orting_out_value is integer range 0 to 2**sorting_out_width-1;end use_package;library ieee;use ieee.s ... : out std_logic_vector(6 downto 0); fndsel4,fndsel3,fndsel2,fndsel1 : out std_logic);end sorting_circuit; ... [과제 03] 수의 정렬 회로(Sorting Circuit)에 대한 설계[수행 및 제출(1)]수의 정렬회로를 VHDL로 설계하시오.library ieee;use ieee.std
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 4,000원 | 등록일 2022.02.05 | 수정일 2023.12.07
  • 판매자 표지 자료 표지
    2023년 1학기 방송통신대 예측방법론 중간과제물)2000년 1월부터 2022년 12월까지 월별 전산업생산지수(Index of All Industry Production)의 원계열과 계절조정계열을 각각 찾고 다음 문제에 답하시오
    적으로 우상향하고 있음을 알 수 있다. 3) R 코드 install.packages('readxl') # readxl 패키지 설치되지 않았을 때. library(readxl) # 엑셀 ... 들이 존 코드 library(readxl) iaip = read_excel("F:/data.xlsx", sheet='데이터') iaip_ts = ts(iaip[, 2:3], start ... 열도표 2)특징 3) R 코드 3. 전산업생산지수의 원계열과 계절조정계열에 대한 스펙트럼을 같이 그래프로 표현하고, 그 특징을 시계열의 변동요인과 연계해서 설명하시오.(10점) 1
    방송통신대 | 10페이지 | 20,000원 | 등록일 2023.03.15 | 수정일 2024.06.17
  • 판매자 표지 자료 표지
    R 언어를 이용한 데이터 크롤링 및 가공
    -GG48i28BLxl8OPpkb3T4AIMXXqfDn1 access_secret - qBgppKSkFzuumy0HOpUp2azCdUzDn2L8fYPZ0So2NhEAm setup ... (R) Core(TM) i7-3770 CPU @ 3.40GHz 3.40 GHz 램 : 8.00GB 시스템 종류 : 64 비트 운영 체제 , x64 기반 프로세서 윈도우 에디션 ... : Windows 10 Pro 윈도우 버전 : 21H2 사용한 언어 : R R 버전 : 4.2.1 IDE : R Studio IDE 버전 : 1.4.1717-3Rstudio사용 이유
    리포트 | 6페이지 | 2,500원 | 등록일 2023.01.16
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2026년 01월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:36 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감