• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(218)
  • 리포트(199)
  • 논문(11)
  • 시험자료(5)
  • 자기소개서(1)
  • 방송통신대(1)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Reference Vector" 검색결과 121-140 / 218건

  • 현대제어 정리노트
    variable을 유추해 낼 수 있는 일반적인 형태※ Eigen value - Eigen vector problemSystem matrix가 basis matrix의 방향을 변화 ... 시키지 않고, 크기만을 변화시킬 때 basis matrix를 Eigen vector라 하며 변화된 크기를 Eigen value라고 한다. 이 관계를 이용하여 Eigen vector ... 와 Eigen value를 찾는 것이 Eigen value - Eigen vector 문제이며, 이 관계식은 System의 characteristic equation과 일치
    리포트 | 6페이지 | 1,500원 | 등록일 2008.08.20
  • (분자유전학) DNA mini perp & 전기영동
    엉긴 chromosomal DNA를 원심분리로 제거한다. 그러면 상층액에 plasmid DNA가 있게 된다.◎ Reference-장해영/신분자유전학/신일상사/2001/p.211 ... 내에서 DNA는 독자적으로 복제되므로 DNA 조각을 cloning하는 vector로 이용될 수 있는 것이다. 분자생물학 실험에 사용되는 Plasmid는 자연계에 존재 ... 적이지 못하다. 이로써 본인은 vector작용을 하는 Plasmid DNA를 이 실험에서 사용하는 이유를 알 수가 있었다.이어서 실험에 대해서 말하면 tube A에서 1ml 채취
    리포트 | 7페이지 | 4,000원 | 등록일 2012.01.12 | 수정일 2023.04.07
  • VHDL Decoder and Encoder(post lab입니다) VHDL code 포함
    분석 (Discussions and Analysis) HYPERLINK \l "reference" # 참고문헌1. 실 험 소 개 (Introduction)1) Purpose of ... isport(i : in std_logic_vector(3 downto 0); -- input dataE : in std_logic_vector(3 downto 1); - ... - enable inputY : out std_logic_vector(7 downto 0)); --output dataend hdl; -- 입력신호와 enable 신호 및 출력 신호
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • 전전컴설계실험1-6주차결과
    결과의 도식적 표현-측정 결과의 설명4.토의(Discussion)-실험의 가설과 일치 여부-실험 측정 결과의 해석 및5.결론(Conclusion)6.참고문헌(References ... 도 파악하였다. 마지막으로 연립방정식의 해를 간단한 코딩으로 구하였다.2.Materials& Methods(1)Procedure of Lab-PreLabArray & Vector2
    리포트 | 25페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • ANSYS를 이용한 자동차 프레임 해석-논문형식입니다.
    ?????????????????????????????? 17REFERENCE ????????????????????????????? 18LIST OF FIGURESFIGURE 1 ... 만큼의 하중을 작용시킨다.3.2 탄소강 자동차 프레임의 해석Plot Results에서 Nodal Solution - DOF Solution - Displacement vector sum ... 을 실행시켜 UX, UY, UZ 벡터의 합에 의한 변형량 및 최대변위를 구할 수 있다.그림 2. 탄소강 자동차 프레임의 Displacement vector sum Plot그림 2는 색
    리포트 | 22페이지 | 3,500원 | 등록일 2009.06.15
  • 두산인프라코어의 중국 진출 전략 레포트입니다. 중국 경영론 시간에 A+ 받은 레포트이구요. 내용과 디자인 모두에 충실하였습니다.
    Quality Low Quality Ideal Vector 유럽 및 일본 브랜드 중국 로컬 브랜드 두산 인프라코어 외자브랜드와 로컬브랜드 사이에서 ‘ 샌드위치 포지션 ’ 에 위치함 차별 ... 골프대회 , 봉사활동 등 의미있는 활동 “ 오른손이 하는 일을 왼손이 더 잘 알게하라 ” 두산 커뮤니티 마련 및 활용Reference 두산인프라코어 연차보고서 2010 두산인프라코어
    리포트 | 60페이지 | 3,000원 | 등록일 2013.12.17
  • MATLAB(MATLAB을 이용한 실험)
    · Contents①. Introduction②. Materials & Methods③. Supposed Data and Results of this Lab④. Reference1 ... [1] Array & Vector ?2~9단 까지의 구구단 표를 작성하여 print하는 MATLAB 프로그램을 작성하고 Source Code와 Run 결과를 report에 삽입하시
    리포트 | 17페이지 | 2,000원 | 등록일 2013.07.22
  • 예비6
    this document, the 80C196KC will refer to all products unless otherwise stated.Four high-speed c ... : Di function.4.ANGND : Reference ground for the A/D converter. Must be held at nominally the same ... positive transition causes a vector through 203EH.12. INST : Output high during an external memory read
    리포트 | 5페이지 | 1,500원 | 등록일 2011.06.01
  • [마이크로프로세서실험] 인터럽트(Interrupt) & 7 segment 예비보고서
    (IRQ)? 인터럽트 핸들러? 스위치와 신호에 따른 제어 방법? 7_Segment2. Reference1. 예비조사 및 실험 내용의 이해? Interrupt & Polling인터럽트 ... (interrupt vector table)에 저장되며, 인터럽트 벡터 표는 0∼3CF16번지에 위치한다. 하드웨어 인터럽트는 인터럽트 8번(INT 8)에서부터 인터럽트 15번 사이에 위치
    리포트 | 10페이지 | 1,500원 | 등록일 2012.05.30
  • 조류계산의 수학적 의미
    방정식의 문제를 푸는것이다.Power flow equation의 유도 과정(I, V : n×1 vector, Ybus :n×n matrix)th component를 보면let ... (slack bus, swing bus or voltage reference bus)슬랙버스를 지정하는 이유에 대해 살펴보면 먼저 경제급전에서 각 발전기마다 발전량을 구할 수 있
    리포트 | 2페이지 | 1,500원 | 등록일 2009.06.01 | 수정일 2025.07.01
  • pre AirTrack.hwp
    의 절대치이다.속력은 벡터(vector)의 크기이므로 본질적으로 양의 값이다.이 실험에서는 미끄럼판에만큼의 기울기를 줌으로써 일정한 가속도, 즉를 유지하여, 등가속도로 움직이 ... 와 , vx0그리고 변위를 알 수 있으므로 가속도를 구할 수 있다. 가속도 ax는Newton's Second Law에 의해 이 경우 간단히 가속도를 구할 수 있다Reference
    리포트 | 3페이지 | 1,000원 | 등록일 2010.08.29
  • [생명과학, 공학 실험]Resriction enzyme & Digestion
    -vector에 insert DNA가 들어있는지 확인하고 제한효소를 이용한 DNA의 절단 및 이를 이용한 재조합 DNA 제조 원리를 익힌다. 또한 제한 효소에 의한 cell digestion ... .결합하는 조각들은 DNA ligase에 의해 고정된다.(안정화된다.)많은 제한 효소 중 하나인 EcoRI는 세균 E.Coli로부터 얻어진다.(결론 : T-vector ... 영동하면, insert DNA와 insert DNA + T-vector를 분리할 수 있다.)5. Instrument & Reagent- Microcentrifuge, tube
    리포트 | 7페이지 | 1,500원 | 등록일 2009.11.25
  • VHDL M bit 가산기와 비교기(post lab 입니다) vhdl code
    ) HYPERLINK \l "reference" # 참고문헌1. 실 험 소 개 (Introduction)1) Purpose of the Experiment이번 실험은 조합논리회로를 이용한 가산기 ... : in std_logic_vector( 3 downto 0);Sum : out std_logic_vector( 3 downto 0);Carry : out std_logic);end ... _fulladder iscomponent twoBits_fulladder isport( A, B : in std_logic_vector( 1 downto 0);Carry_in: in std
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • 열전대 실험 보고서
    에 의해 결정된다. 따라서 이 효과는 역으로도 가능하며, 접점부의 온도와 도체의 구성 재질에만 관계된다. 두 종류의 다른 금속이 접해 있을 때 Vector E-field나 space ... 입력단자에 연결한다.[그림1 참조] 이때 단자에 연결되는 열전대 소선의 말단을 "기준 접점부(Reference Junction)"라고 부른다.이렇게 구성이 되면 열전대의 감지부인
    리포트 | 25페이지 | 1,500원 | 등록일 2013.03.14
  • 판매자 표지 자료 표지
    식물에서 추출한 경구백신 관련 논문대용 포스터
    for gene expression in plant system 6. Summary 7. Future study 8. Reference 1. Abstract 최근 들어 몇 가지 ... Plant transformation A. HBsAg coding sequence를 incorporate하기 위하여 shuttle vector로써 사용 된 plasmid ROK(A ... 있는 side effect effects를 차단 할 수 있을 만큼 충분한 연구가 필요 할 것이다. 8.Reference 1. Sala F, Manuela Rigano M
    리포트 | 1페이지 | 2,000원 | 등록일 2010.08.30 | 수정일 2017.11.13
  • VHDL MUX and DEMUX(VHDL Code post lab 입니다)
    "reference" # 참고문헌1. 실 험 소 개 (Introduction)1) Purpose of the Experiment- 조합논리회로를 이용한 MUX를 이해하고 설계를 한다.- 조합 ... _vector( 2 downto 0); -- address inputQ : out std_logic_vector( 7 downto 0) -- output);end demux
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • Heuristic Routing
    techniques・・・・・・74.1Evolutionary algorithms・・・・・・84.2Support Vector Machines・・・・・・105Benefits・・・・・・136 ... Conclusion・・・・・・137References・・・・・・141 IntroductionThe term heuristic is used for algorithms which find ... node contains a label indicating the predicted class of a given feature vector. Recently this method
    리포트 | 14페이지 | 4,000원 | 등록일 2009.09.16
  • 솔젤법을 통한 밴드갭에너지 측정
    피이크의 강도의 변화로 농도를 측정함. 반응 20분만에 용액에 포함되어 있는 페놀을 완전히 분해함.페놀의 농도감소●Sol gel, ■P25 particle▲reference P ... 그림(a)과 같이 직접천이형 밴드갭 (direct bandgap)반도체의 E-K diagram을 고려해보면, 입사빔의 에너지 (hυ)가 Eg일 때 wave vector가 ki인 ... 가전자대의 전자가 전도대의 kf인 준위로 여기된다. 만약 광자의 wave vector를 q라고 하면 운동량 보존에 의해서 kf-ki=q이고 광흡수에서 q(광자의 운동량)는 결정
    리포트 | 12페이지 | 1,500원 | 등록일 2009.11.13
  • oscilloscope and resonance circuit(예비)
    self-inductance which is usually simply referred to as the inductance of the circuit.B. Capacitance ... derivative), or accumulation (time integral) of the current. In vector analysis, Reactance is the
    리포트 | 12페이지 | 1,000원 | 등록일 2011.07.09
  • Segmentation using EM algorithm
    & Assumption6Experiment Result7Conclusion10Programming codes11References16BackgroundGaussian distribution ... . Gaussian distribution in D-dimensional space,(1)Where is a D-dimensional mean vector, is a DD covariance
    리포트 | 16페이지 | 10,000원 | 등록일 2011.09.22
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 12일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:21 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감