• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(2,611)
  • 리포트(2,404)
  • 자기소개서(177)
  • 시험자료(19)
  • 논문(7)
  • 방송통신대(3)
  • 서식(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"NS실습" 검색결과 121-140 / 2,611건

  • [A+] 중앙대학교 아날로그및디지털회로설계실습 결과보고서 7. 논리함수와 게이트
    설계 실습 7에서는 여러 종류의 논리게이트를 직접 설계하고, 기능을 확인해보는 실험을 진행하였다. 첫 번 째로, AND, OR, NOT 게이트를 사용하여 NAND, NOR ... 를 측정해 보았는데, datasheet에 따르면 시간 딜레이가 [ns] 단위여서, 오실로스코프의 결과로는 측정하지 못하였다. 네 번째로, NAND 게이트의 최소 정격
    리포트 | 11페이지 | 1,000원 | 등록일 2023.02.06 | 수정일 2023.02.10
  • 서울시립대 전전설2 Lab-04 결과리포트 (2020 최신)
    적 할당 B & C; ‘와 같이 작성했다면 B&C의 값이 변했을 때 그 값이 A에 할당될 때까지 10ns가 걸린다는 의미이다. 지연연산자는 synthesizable하지는 않기 때문 ... 된다. 또한 initial문은 synthesizable하지 않아서 테스트벤치 파일 작성에만 사용할 수 있다.2. 테스트벤치 구문에서 20ns를 주기로 1과 0을 토글링하는 신호를 생성 ... 하는 코드를 작성하시오.‘forever begin’ 구문을 이용해 반복적으로 1과 0이 번갈아가며 토글링되는 코드를 만들었다. 20ns가 한 주기가 돼야 하므로 10ns마다 값이 바뀌
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 1,500원 | 등록일 2021.09.10
  • 판매자 표지 자료 표지
    아동 실습 폐렴 case study 덴버검사 포함 (간호 진단 3개, 간호 과정 2개)
    CASE STUDY- BRONCHOPNEUMONIA -실습병원-실습기간-과 목아동 실습담당교수-학 번-이 름-제 출 일-1아동청소년 병동실습 사례연구1)문헌고찰(질병에 대한 이해 ... + 밥 100ml밥 100ml X 2회 국 + 반찬 포함 150ml밥 120ml X 2회 국 + 반찬 포함 150mlI/OFeeding----fluidD5 1/2NS 500mlD ... 5 1/2NS 500ml + KCI 10 mEq수액 유지 (D5 1/2NS 400ml)수액 감소 (D5 1/2NS 300ml)소변5회, 연노랑, 정상5회, 맑고 정상4회, 약간
    리포트 | 24페이지 | 2,000원 | 등록일 2025.05.15 | 수정일 2025.09.15
  • 중앙대 전자전기공학부 전기회로설계실습 2020년 2학기 A+ 자료 설계실습 8. 인덕터 및 RL회로의 과도응답
    전기회로 설계실습 결과보고서설계실습 8. 인덕터 및 RL회로의 과도응답1. 서론주어진 RL time constant, 시정수를 갖는 RL회로를 설계해보고 Oscilloscope ... 에서의 RL회로 파형2. 설계 실습 결과2.1 RL회로의 파형 확인먼저 실험에서 사용할 가변저항을 1kΩ으로 맞추고 인덕터의 내부 저항을 측정하였다.이때 인덕터는 471인덕터 ... )이때 1.60V의 0.632%에 해당하는 1.01V 부근, 1.12V에서 시정수를 측정한 결과 520ns이 측정되었다. RL회로에서 시정수는 이므로 이론값은 이고 오실로스코프
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,500원 | 등록일 2021.10.31
  • 강점코칭 프로그램이 간호대학생의 첫 임상실습에 미치는 효과 (Effect of Strength Coaching Program for First Clinical Experience of Nursing Students)
    국제문화기술진흥원 홍주영, 이소영
    논문 | 5페이지 | 무료 | 등록일 2025.07.07 | 수정일 2025.07.11
  • 케이스 스터디(수술실) - 척추경 나사못 고정술
    수술실CASE STUDY- 척추경 나사못 고정술(NS) -(Trnaspedicular secrew fixation)과목(분반)실습기관실습부서실습기간지도 교수학번(반)이름제출일목 ... 도 한다.OO병원 수술실에서 실습하는 동안 GS, OS, OB, NS 등 다양한 수술을 참관할 수 있었다. 담낭 절제술(GS 수술)을 집도의 차이마다 혹은 환자의 나이에 따라 차이 ... : NS(신경외과)마취일반정보집도과 : 신경과집도의 : OO마취의 : OOO마취간호사 : OOOPhysical status3. 일상생활에 제약을 주는 고도의 전신질환이 있는 환자진단
    리포트 | 17페이지 | 3,500원 | 등록일 2025.05.11
  • 패리티체크 verilog 설계
    제목패리티 검사기 설계실습 목적패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. 수신 측에서는 송신 측에서 전송한 데이터에 대해 데이터에 포함된 ‘1 ... ’의 개수를 카운트하여 오류가 발생했는지 판단한다. 이 실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 대해 알아본다.실습 내용실습결과Verilog, VHLD설계1. 홀수 ... ,error);endendmodule`timescale 1ns/10psmodule tb_ParaityCheck;reg [7:0] data_in;wire error;integer i
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 판매자 표지 자료 표지
    [종합실습]A++_주간보고서 2주차
    실습 직무 구분 ※ 실습 직무(직무 유형에 따른 교육 및 실습내용) 작성 방법 매일 관찰 혹은 수행한 내용 현장지도자 및 실습지도교수의 지도받은 내용 매일 자신의 성찰 이상 ... , MNNPO, 22G 이상 IV line 확보, 시술 전 pre NS 1L 연결, prep 약물(국소마취, 통증조절) 시술 후 주의사항: v/s check, fever, 소변양상 확인(짙 ... 고, 벤토린흡입액의 1:5로 희석할 것을 다시 한번 언급해주셨다. 15:00 수혈 다 주입되어 v/s 측정 후 line remove한 뒤 3way 통해 NS flushing한 것을 관찰
    리포트 | 11페이지 | 2,000원 | 등록일 2025.03.21
  • A+급성경막하혈종(SDH)케이스스터디+EVD
    실습병동 SICU 환자이름 한** 나이 87성별 F 입원(실)일 23. 03. 27 퇴원일 - 진단명 Traumatic Subdural hemorrhage, without ... . Both TKR (OS)23. 03. 27. Lt. Craniectomy (NS)23. 03. 29. EVD both brain투약력 자가투약 - HTN, DM, HL교육상태1)무학
    리포트 | 16페이지 | 7,000원 | 등록일 2024.05.07
  • 판매자 표지 자료 표지
    간호 윤리적 딜레마(실습 중 겪었던 윤리적 딜레마)
    를 느끼었다.3. 하루종일 적용하는 억제대NS 병동에서 실습한 적이 있었다. NS 병동인 만큼 의식이 혼미하거나, 치매가 있으신 환자분들이 꽤 많으셨다. 그런 환자분들 중에 수액 ... 할까. 이번에 임상 실습으로 나간 병동에선 인격 모독에 가까운 태움을 볼 수 있었다. 신규 간호사 선생님이 오셨던 시기에 실습을 나가게 되었는데, 안타까운 일이 있었다. 신규인 만큼
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 2,000원 | 등록일 2022.08.02
  • 판매자 표지 자료 표지
    간호관리학실습 QI 보고서
    간호관리학실습 간호단위 개선사례 보고서실습기관실습일자2024년 08 월 19 일 ~ 08 월 30 일실습지도교수주제보조기 사용 교육을 통한 환자 안전 증진제출일2024 년 08 ... , NS 1명, NH 1명으로 51병상 중 44병상을 차지하고 있다.침상 가동율약 90%간호사 1명 당 환자 수약 11명(1) 일반적 현황(2) 실태 및 문제점- 주로 정형외과
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 2,000원 | 등록일 2025.10.03
  • SR-FF/JK-FF
    논리회로 및 실습결과 레포트1. 제 목 : SR-FF , JK-FF 실습2. 내 용 :실습내용 :latch와FF의 차이는 latche는 들어오는 신호level의 차이에 따른것이고 ... ,clk는reg로선언해주었습니다. 이것은 .r(r).s(s).clk(clk).q(q).nq(nq)의 식을 보고 알수 있습니다.그리고 clk을 3ns주기로 값을 무한으로 반복해주 ... 었습니다. 이것은 .j(j).k(k).clk(clk).q(q).nq(nq)의 식을 보고 알수 있습니다.그리고 clk을 5ns주기로 값을 무한으로 반복해주면서 주었고 s,r의 초기값을 0 0
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2021.01.11 | 수정일 2021.01.13
  • 디시설, 디지털시스템설계 실습과제 9주차 인하대
    는 20ns마다 0과 1을 출력하도록 설정했고, serial 입력 sin 은 30ns 마다 0과 1을 출력하도록 설정했다. clk와 sin을 모두 0으로 초기화하고 reset_n ... ns 직전까지 출력 값이 0000인 것을 확인 할 수 있다. 이후 positive edge에서 sin의 값이 1이된 것을 확인할 수 있다. 따라서 출력으로 sin과 이전 qout ... 화 시키고 reset을 통해 초기 출력 값을 0000으로 설정한다. 그리고 100ns 후에 4비트 din 신호 1111과 load신호 1을 입력해주고 30ns후에 load를 다시 0으로 바꿔준다
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,500원 | 등록일 2021.08.31
  • 디시설, 디지털시스템설계 실습과제 11주차 인하대
    배만큼 test포트를 선언했다. 20ns마다 1비트씩 더하기 1 연산을 진행해주기 위해서 test 포트의 하위 4비트는 4비트 y로, 이어지는 상위 4비트는 x로 할당해주 ... 었다. 그리고 test = test + 1 문장을 작성하여 20ns 마다 test의 최하위 비트부터 1씩 더해지도록 연산을 진행했다.waveform을 살펴보면(왼쪽에 포트부분의 캡쳐가 잘렸 ... 고, carry가 오버플로우 되는 것을 확인하기 위해 + 을 진행해 sum 과 cout이 어떻게 출력되는지 확인했다. 따라서 20ns 이후 x, y를 = 2147483648 로 설정
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,500원 | 등록일 2021.08.31
  • 디지털 시스템 설계 및 실습 패리티검사기 설계 verilog
    1. 실습목적패리티 비트는 데이터 전송 도중 오류가 발생했는지 검사하는 데 사용된다. 수신측에서는 송신 측에서 전송한 데이터에 대해 데이터에 포함된 ‘1’의 개수를 카운트 ... 하여 오류가 발생했는지 판단한다. 이 실습에서는 데이터 오류를 검사하는 데 사용되는 패리티 비트에 대해 알아본다.2. 코드1) Parity.vmodule Parity(data_in ... 1ns/10psmodule tb_parity;reg [8:0] data_in;wire error_out;Parity tb(.data_in(data_in), .error_out
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,500원 | 등록일 2020.11.02
  • BCD가산기 verilog 설계
    제목BCD 가산기 설계실습 목적BCD는 디지털에서 사용하는 2진 코드를 이용해 10진수를 표현한 값이다. 일상생활에서는 10진수를 사용하지만 디지털 연산은 2진수를 기반으로 하 ... 므로, 디지털 연산에 의한 결과는 10진수로 변환되어야 한다. 이 실습에서는 BCD로 입력되는 두 수를 더한 2진 결과를 다시 BCD로 출력하기 위해 BCD로 변환하는 과정을 실습 ... 한다.실습 내용실습결과Verilog설계- BCD 가산기의 Verilog 코드 기술BCD_ADDERtb_BCD_ADDERmodule BCD_ADDER(A,B,C,RESULT
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • SR래치, NOR
    논리회로 및 실습결과 레포트1. 제 목 : SR 래치 (NOR) 구현2. 내 용 :실습한 내용이번 실습에서는 nor 게이트를 이용한 sr-latch를 해보았습니다. 그림에서 보 ... 으로 XOR 해줍니다.테스느 벤치 코드로는 1ns 간격으로 해주었고 s,r값을 레지스터로 선언하고 wire 값으로는 q,nq를 선언해주었습니다. 그리고 테스트 모듈과 앞에 작성
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 2,500원 | 등록일 2021.01.11 | 수정일 2021.01.14
  • 판매자 표지 자료 표지
    A++ 성인건강간호학 Burn(화상)환자 Case Study(간호진단6개 간호과정6개) 우선순위, 수행/평가까지 포함
    로 Morphine 0.4mL/2mg+N/S 50mL mix하여 IV로 제공하고 있다. prn으로 38도 이상 시 칼도롤 0.75mL+NS 100mL mix, NRS 4점 이상 시 아 ... 로 처방되어 있는 것을 예정 시간보다 일찍 투약하였으며, 이후 담당 주치의에게 noti한 결과, dressing 전 morphine 2mg+NS 50mL mix하여 IV로 투약하라 ... 는 모하였다.간호과정실습 제 1일(9월 11일)환자명(성별/연령)간호사정간호진단간호목표간호중재 및 간호술의사소통평가최00(F/9)주관적 자료없음객관적 자료WBC 13.22
    리포트 | 10페이지 | 3,000원 | 등록일 2023.03.25
  • 클럭분주회로설계 verilog 설계
    제목클럭 분주회로 설계실습 목적많은 디지털 회로에서 클럭을 분주하여 사용한다. 클럭을 분주하는 방법은 다양하지만, 이번 실습에서는 순차논리회로에 의해 상태를 정의하고 일정한 조건 ... 에 의해 상태가 천이되도록 클럭 분주회로를 설계함으로써 순차논리회로를 설계하는 절차를 배운다.실습 내용실습결과Verilog, VHLD설계1. 클럭 분주회로를 verilog로 설계 ... 0000000000000000001;endcaseendmodule`timescale 1ns/10psmodule tb_ClockDivider;reg clk;reg rst
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 2,000원 | 등록일 2020.12.19
  • 판매자 표지 자료 표지
    간호관리학 시간관리 레포트
    식사18:30-19:30저녁식사13:00-14:00휴식 & 방정리19:30-20:00과제14:00-17:00온라인 강의20:00-21:30sns 활동17:00-18:30과제21:30 ... -23:30드라마 시청18:30-19:30저녁식사23:30-04:00sns 활동19:30-20:00sns 활동04:00취침20:00-21:30토익공부21:30-23:30드라마 시청 ... :30토익 공부19:30-20:00휴식18:30-19:30저녁식사20:00-21:30토익공부19:30-20:00sns 활동21:30-23:30드라마 시청20:00-21:30토익공부
    리포트 | 10페이지 | 2,000원 | 등록일 2023.08.10
  • 전문가요청 배너
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 21일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:25 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감