• 통합검색(2,334)
  • 리포트(1,991)
  • 자기소개서(231)
  • 시험자료(61)
  • 방송통신대(38)
  • 논문(10)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

바로가기

방송통신대 - 2025 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료
판매자 표지는 다운로드시 포함되지 않습니다.

"디지털논리회로설계" 검색결과 1,261-1,280 / 2,334건

  • led전광판 자료조사
    하게 되었고, VHDL 파일을 읽어들여서 논리 합성을 한 다음 실제 회로 형태를 출력하는 기능을 덧붙이게 되었다. 오늘날에는 디지털 회로설계, 검증, 구현등의 모든 용도로 사용 ... )는 임의의 논리 회로를 사용자가 의도한대로 설계하고, 작동하도록 회로에 설정하여 사용하는 것을 말한다. 또한 사용 중 설계 사항이 바뀌면 새롭게 바뀐 논리 회로를 FPGA 소자 ... : VHSICHardware Description Languae)은 디지털 회로설계 자동화에 사용하는 하드웨어 기술 언어이다.제품의 제작 방침을 결정한 후 그 방침에서부터 제작까지의 시스템
    리포트 | 3페이지 | 2,500원 | 등록일 2011.11.20
  • 부울대수의정리
    Design, (Alan B.Marcovitz). Ch22) 디지털 논리회로 설계 – Verilog 기초, (김종수 외 6명), Ch23) www.google.com image-searching ... 실험예비보고서 – 부울대수의 정리1. 실험 목적1) 부울대수(Boolen algebra)의 기본적인 공리와 정리를 이해하고 증명한다.2) 부울대수식을 이용한 논리회로의 간략화 및 ... 논리식 표현을 익힌다.3) 다양한 논리회로를 부울대수식으로 표현하는 능력을 배양한다.2. 기본 이론1849년 George Boole은 논리적 사고와 추론을 대수학적으로 표현
    리포트 | 9페이지 | 2,000원 | 등록일 2013.11.18
  • RS와D플립플롭실험(예비)
    하다.- 참고문헌 : VHDL을 이용한 디지털 논리회로 설계(William Kleitz)오실로스코프로는 관측하는 신호가 시간에 대하여 어떻게 변화하는가를 조사하는 것이 주목적인데 ... 와 동작원리를 이해한다.? 실험관련 이론디지털 논리회로는 조합논리회로와 순서논리회로로 크게 나눌 수 있다. 조합논리회로는 출력이 현재 입력등의 조합에 의해서만 결정되는 논리회로이고 ... : 논리회로의 간략화[3주] 실험5: 가산기, 감산기 회로 실험[4주] 실험6: RS와 D플립플록 실험[5주] 실험7: JK와 T플립플록 실험[6주] 실험8: 동기식 카운터[7주
    리포트 | 11페이지 | 2,000원 | 등록일 2012.10.11 | 수정일 2013.11.18
  • 서강대학교 디지털논리회로실험 7주차결과
    디지털논리회로실험실험7. Finite State Machines담당교수 : 김 영 록제 출 일 : 2013. 11. 05.(화)학 과 : 전자공학과성 명 :1. 실험 제목 ... Finite State Machines2. 실험 목적● Finite State Machine (FSM) 회로설계하고 분석할 수 있는 능력을 갖춘다.● Mealy와 Moore State ... FSM을 설계하였다. 차이점은 D Flip-flop을 JK Flip-flop으로 바꾸어주었다는 점이다. 아래의 회로는 위의 D Flip-flop을 이용한 회로와 동일한 기능을 수행
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • 컴퓨터 구조 CPU 설계 프로젝트 입니다.
    프로젝트 결과보고서제목: CPU 설계1. 서론? 프로젝트 작품의 필요성 제시우리는 이론을 통해서 MIPS의 여러 가지 명령어를 학습했고, MIPS의 구조 및 동작원리를 이해 ... 함으로서 CPU의 data path와 main control unit을 설계할 수 있는 능력을 배양.CPU의 구현 방식에 대해 고찰해보며, 아래의 MIPS의 명령어의 실행과정에 대해 ... 학습한다.? 메모리참조 : lw, sw? 산술/논리 연산: add, sub, and, or, slt, addi, andi, ori, slti, bne? 분기 및 점프: beq, j
    리포트 | 24페이지 | 1,500원 | 등록일 2014.12.11 | 수정일 2014.12.12
  • 전전컴설계실험2-2주차결과
    다. 이때 디지털 회로의 전압이나 전류의 정확한 값이 중요하지 않고, High또는 Low의 값만 중요시 하기 때문에 상대적으로 설계하기 쉽다. 또한 아날로그 시스템에 비해서 잡음 ... 적 논리회로로서 입력이 일치하고 있지 않을 때 출력 “1”이 되고, 같은 경우에 출력이 “0”이 되는 회로이다.입력출력PQX000011101110(3)반가산기2진 신호(0,1 ... )에 대하여 2개의 입력과 2개의 출력을 가지고 출력 신호가 입력 신호에 대하여 합(S)과 자리 올림 수(C)를 산출하는 논리 회로이다.입력출력ABSC*************101(4
    리포트 | 16페이지 | 1,500원 | 등록일 2014.03.28 | 수정일 2014.04.15
  • 디지털 회로실험 1장
    에서 HIGH와 LOW논리 레벨의 존재를 검출하는데 유용하다. 이 실험에서의 논리프로브는 단지 직접회로의 연결과 논리 프로브의 사용설명을 위해서 설계된 것이다. 만약 프로브에 아무것 ... , 파란색), 2KΩ(1.975Ω)신호용 다이오드 2개(1N914또는 동급), 저항 330Ω(325Ω)2. 이론요약:이번 실험에서의 회로는 간단한 논리 프로브이다. 논리 프로브는 회로 ... 게 되어 인버터 출력은 LOW가 된다. 그러므로 논리 LOW입력을 나타내는 상단 LED에 불이 들어오게 된다.3. 실험 회로그림 1-1프로브 회로를 통하여HIGH와 LOW를 조절
    리포트 | 7페이지 | 15,000원 | 등록일 2012.12.03
  • [Flowrian] 멀티플렉서 & 디멀티플렉서 회로의 Verilog 설계 및 시뮬레이션 검증
    언어를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1. 멀티플렉서 & 디멀티플렉서 회로의 사양2. Behavior 형식 멀티플렉서 회로의 Verilog ... 설계 및 검증3. Structure 형식 멀티플렉서 회로의 Verilog 설계 및 검증4. Behavior 형식 디멀티플렉서 회로의 Verilog 설계 및 검증5 ... . Structure 형식 디멀티플렉서 회로의 Verilog 설계 및 검증6. 멀티플렉서/디멀티플렉서 테스트 회로의 Verilog 설계 및 검증
    리포트 | 21페이지 | 1,000원 | 등록일 2011.12.08
  • 실험4 예비보고서
    화된 데이터를 해독하여 그에 대응되는 아날로그 신호로 바꿔주는 컴퓨터 회로이다. 아날로그 데이터를 계산이 가능한 부호, 곧 각 시스템 내에서 사용하는 디지털 코드로 변환시켜 주는 인코더 ... 측에 어떤 신호가 있는가를 탐지해서 표시해 주는 집적 회로 또는 논리소자로 구성된 회로를 통틀어 복호기라고 할 수 있다. N개의 입력에 대하여 출력은 2N개까지 있을 수 있 ... 회로도의 Tri-state buffer와 inverter를 결선하여 2x1 Mux를 설계해 보시오.입 력출 력SD0D1OUT
    리포트 | 10페이지 | 1,000원 | 등록일 2013.01.01
  • [Flowrian] 동기/비동기 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1. 비동기 카운터 회로의 Verilog 설계 및 검증2. Behavior 형식 동기 카운터 회로 ... 동기/비동기 카운터 회로의 동작은 Verilog 언어가 제공하는 두가지 방식, Behavior와 Structure 관점에서 전가산기의 논리동작을 모델링한다.Verilog 언어 ... 의 Verilog 설계 및 검증3. Structure 형식 동기 카운터 회로의 Verilog 설계 및 검증
    리포트 | 14페이지 | 1,000원 | 등록일 2011.12.08
  • 6장 인코더와 디코더, 7장 멀티플렉서와 디멀티플렉서
    결과7. 멀티플렉서와 디멀티플렉서[목적]1. 멀티플렉서와 디멀티플렉서의 기본 원리와 동작을 이해한다.2. 이들 회로설계하고 응용방법을 익힌다.[기본이론]1. 멀티플렉서 ... DataselectS0S1D0D1010123MUX표 7.1 4×1 MUX의 진리표입력출력S1S200110101D0D1D2D3이번에는 멀티플렉서의 동작을 구현하는데 필요한 논리 회로를 알아보 ... 7.2 4×1 MUX에 대한 논리 회로.2. 디멀티플렉서(Demultiplexer)디멀티플렉서(DMUX)는 멀티플렉서와 반대 기능을 한다. 디멀티플렉서는 하나의 입력선으로 데이터
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.10
  • 아주대 논리회로실험 프로젝트 FPGA를 통한 VHDL 구현 프로젝트 - 비밀번호
    FGPA를 이용한 VHDL 구현 프로젝트 - 비밀번호#1. 설계 목표-. FPGA Kit에 있는 7 segment 모듈과 Keypad 모듈을 활용하여 디지털 잠금 장치를 설계 ... 는 Appendix에만 기재, vhd 파일은 제출하지 않음)① Shifting function-. Shifting function은 이미 프로젝트 이전 논리회로실험에서 구현해 본 바 ... 에 대한 문제 해결 과정은 coding에 대한 정확한 이해도 같이 동반되었다. 더불어 신호의 저장, shifting, 7 segment 등 기존 논리회로실험에서 실험한 내용들을 직접
    리포트 | 28페이지 | 3,500원 | 등록일 2016.07.09
  • 디지털시계와 stop watch
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use ... IEEE.STD_LOGIC_UNSIGNED.ALL;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic ... ;push1 : in std_logic;push2 : in std_logic;push3 : in std_logic;digit : out std_logic_vector(1 to 6);s
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.18
  • 컴퓨터의 이해-컴퓨터에서 입력장치,중앙처리장치,출력장치,기억장치에 대하여 자세히 설명한다.최신 스마트폰 하나를 선정하고 입력장치,중앙처리장치,출력장치,기억장치에 대하여 자세히 설명한다. 2차원 바코드의 종류와 사용 사례를 조사하라.
    는 QR코드Ⅲ. 결론참고문헌Ⅰ. 서 론우리나라는 급속도로 디지털 강국이 되어가고 있다. 특히 요즘에 스마트폰이 없는 사람을 찾기 힘들 것 이다. 예전 전화나 연락을 주고받던 스마트 ... 연산과 논리연산을 수행하며 가산기, 누산기, 데이터레지스터,상태레지스터로 구성되어있다.연산을 수행할 자료는 주기억장치에서 호출된후 누산기와 데이터레지스터에 저장되며 가산기에서 수행 ... ) 있지만 화면의 크기가 일정하여 한번에 볼수 있는 내용이 제한된다는 것이 단점이다.플로터 - 그래프와 설계도면등을 아주 정밀하게 인쇄하는 출력장치이다.(4) 기억장치우리가 구구단
    방송통신대 | 8페이지 | 3,000원 | 등록일 2017.10.01
  • 2011년 1학기 디지털논리회로 기말시험 핵심체크
    시스템의 장점은 편리성, 융통성, 단순성, 안정성, 정확성 등이 있음3. 디지털시스템의 설계회로설계 : 논리소자를 만들기 위해 능동소자와 소동소자를 연결시키는 단계이 ... 제1장 컴퓨터와 디지털 논리회로1. 디지털시스템 - 시스템의 정의: 입력과 출력을 갖는 검은 상자로서, 어떠한 목적을 달성하기 위하여 상호작용하는 구성요소들의 집합2. 디지털 ... 시스템의 장점① 디지털시스템은 구성요소의 처리과정이 매우 정확하고 동작상태를 예상할 수 있으며, 구성요소의 설계가 용이하다는 장점을 가지고 있음② 직접회로를 사용하여 설계되는 디지털
    방송통신대 | 47페이지 | 6,500원 | 등록일 2011.05.12
  • [Flowrian] Mod-10 인코더 & 디코더 회로의 Verilog 설계 및 시뮬레이션 검증
    한다.Verilog 언어를 이용하여 디지털 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1. Mod-10 인코더 & 디코더 회로의 사양2. Behavior 형식 Mod-10 인코더 회로 ... Mod-10 인코더 & 디코더 회로의 동작은 Verilog 언어가 제공하는 두가지 방식, Behavior 와 Structure 관점에서 전가산기의 논리동작을 모델링 ... 의 Verilog 설계 및 검증3. Structure 형식 Mod-10 인코더 회로의 Verilog 설계 및 검증4. Behavior 형식 Mod-10 디코더 회로의 Verilog
    리포트 | 17페이지 | 1,000원 | 등록일 2011.12.08
  • [Flowrian] 크기 비교기 회로의 Verilog 설계 및 시뮬레이션 검증
    크기 비교기 회로의 동작은 Verilog 언어가 제공하는 두 가지 방식, Behavior 와 Structure 관점에서 논리동작을 모델링한다.Verilog 언어를 이용하여 디지털 ... 논리회로의 구조 설계를 배우려는 분에게 도움이 된다.1. 크기 비교기 회로의 사양2. Behavior 형식 크기 비교기 회로의 Verilog 설계 및 검증3. Structure 형식 Mod-10 인코더 회로의 Verilog 설계 및 검증
    리포트 | 11페이지 | 1,000원 | 등록일 2011.12.08
  • 논리회로간소화
    하는 회로설계하고 실험한다.2. 실험부품 및 사용기기17400 NAND 게이트1LED1DIP 스위치1브레드 보드15V 직류 전압전원 장치1디지털 멀티미터저항기 330Ω, 1kΩ3 ... 논리회로 간소화1. 실험목적lBCD - 부당한 코드 탐지기의 진리표를 나타낸다.l논리식을 간략화하기 위해서 카노맵(Karnaugh-map)을 이용한다l간략화된 논리식을 실행 ... . 이론요약조합 논리 회로들의 출력은 입력에 의해서만 결정되어진다. 간단한 조합 회로의 경우, 진리표가 모든 가능한 입력과 출력을 대응시키는데 사용되어진다. 진리표는 회로에서 원
    리포트 | 6페이지 | 1,000원 | 등록일 2012.11.20
  • dmac final report
    우리는 한 학기 동안 디지털 논리회로 2를 수강하면서 FPGA와 verilog HDL 이라는 언어를 새로이 접하였다. 물론 1학기에 디지털 논리회로 1이나 컴퓨터기초공학설계 및 실험 ... 조합회로나 순차회로에 관해서도 다루었고, FSM과 스테이트 머신에 대한 내용도 배운 바 있다. 디지털 논리회로 2 수업과 연계되는 컴퓨터기초공학설계 및 실험 2과목에는 수업시간 ... 디지털 논리 회로 2 – Term ProjectDirect Memory Access Controller최종 결과 보고서컴퓨터공학과1. Introduction과제를 하게 된 동기
    리포트 | 19페이지 | 2,000원 | 등록일 2012.02.29
  • 실험1 프로젝트 전화번호입력 (2013년도 1학기)
    Project[;실험(1)]디지털 논리회로전화번호 입력 시스템 구현(학번 입력 시스템)목 차......1.개 요……………………………………………32.소자특성 및 회로설계 ... 하다.2. 소자특성 및 회로설계처음구성은 JK Flip-Flop을 이용해 카운터를 제작하려고 했다. 하지만 그렇게 되면 기판에올라갈 IC의 숫자와 배선, 효율 등이 한참 떨어지 ... 들이 들어가게 된다.나. 회로설계회로설계는 가장 구조가 심플하게 하는 것이 용이하다. 회로도가 복잡해지면 소자사이에납땜하는 동안 쇼트가 발생할 확률이 높아지고 결선이 매끄럽지 못하
    리포트 | 20페이지 | 2,000원 | 등록일 2014.07.08
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 25일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:55 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감