• 통합검색(772)
  • 리포트(382)
  • 논문(365)
  • 시험자료(17)
  • 자기소개서(4)
  • 방송통신대(3)
  • 이력서(1)

"Multiple-input" 검색결과 501-520 / 772건

판매자 표지는 다운로드시 포함되지 않습니다.
  • 논리회로실험 설계 보고서
    ); -- input in_1 8bitin_2 : in std_logic_vector(7 downto 0); -- input in_2 8bitoutput : out std_logic ... . FPGA board에 설계한 코드를 load한 후 회로로 구현하여 곱셈기의 동작을 눈으로 확인하여 본다.vhdl에 대한 기본적인 설명- HDL은 Text editor, Compiler ... , Synthesizer, Simulator, Test bench, Waveform editor로 구성된다. HDL의 기본적인 디자인 흐름은 다음과 같다.-VHDL의 특성1. 설계
    리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 기숙사VS자취 , 기숙사보다 자취가 좋은 이유. 영어에세이 (기말고사 대체 레포트)
    have multiple choice. They can use delivery systems or dine out if they have no time to cook.Finally, s ... /bulletin/2015/01/26/0200000000AKR*************0053.HTML?input=1179m( 2015.01.21, 연합뉴스, 검색일자 : 2015.06 ... dormitories, 149-169 ppMihyunKim, Food Habits and Dietary Behavior Related to Using Processed Food
    리포트 | 2페이지 | 1,500원 | 등록일 2015.10.17
  • 1세대 (1g)부터 5세대(5g)까지의 이동 통신 기술 . 모바일 통신 기술 4g lte
    동기, 심볼 동기에 민감..PAGE:314. 4세대 모바일 기술4세대 모바일 기술31 / 47LTE MIMO 기술Multiple Input Multiple Output여러 개 ... 에서 처음 시작다이얼 자동접속, 쌍방향 통신 (full-duplex) 및 다중 채널 접속 등이 실현된 IMTS시스템으로 개발송신기의 최대 출력에 의해 서비스 지역이 결정, 채널 수 ... 기술1세대 모바일 기술4 / 471세대의 모바일 통신은 아날로그 기술력을 기반으로 음성 중심 서비스 제공1세대 표준 기술의 종류NMT - 에릭슨사가 개발한 북유럽 표준 1세대 이동
    리포트 | 46페이지 | 4,000원 | 등록일 2015.06.06 | 수정일 2020.02.16
  • 한국영어교육의 문제점과 테솔을 통한 해결방안
    Korean teachers focus on grammar inattentive to other skills. There wasn’t any interaction of student ... -student and student-teacher because students couldn’t get feedback from teachers, and know ... used interactively and in combination.Second of all, students have all different multiple intelligences
    리포트 | 3페이지 | 1,000원 | 등록일 2014.03.23
  • Indirect Method of Generating FM Signal
    5-kHz sinusoidal signal at the AUDIO INPUT of the Indirect FM / PM Generator, with the generator in ... INPUT of the Spectrum Analyzer.On the Spectrum Analyzer, change the FREQUENCY RANGE to 0-30 MHz and the ... of the local oscillator?MHz < MHz4. Inject a 1-kHz, 160mV, sinusoidal signal into the AUDIO INPUT
    리포트 | 8페이지 | 2,000원 | 등록일 2012.12.23 | 수정일 2014.01.01
  • How Languages Are Learned? - Learner Characteristics
    Genesse – Immersion Programme Howard Gardner – Multiple Intelligence ConclusionSecond Language ... Learning ABC Learning Conditions Silent Period Ample Time Corrective Feedback Modified Input Learner ... econd language is taught via content-based instruction. intelligence reading Writing vocabulrary Oral
    리포트 | 7페이지 | 1,000원 | 등록일 2012.01.31
  • 통신실험예비(Unit7)
    에는 pocket-paging 서비스나 모바일에서도 사용될 것이다. 일반적으로SCA 신호는 multiple FM 변조에 의해서 생성된다. (a signal frequency ... Unit 7 - Frequency Division Multiplexing1. Unit Objective이 단원을 마무리하면 어떻게 stereo baseband signal이 신호 ... 었어야 했다. 이 때문에 스테레오 신호를 만들어 내는 방식은 기존의 단조방식의 기기들과 호환될 수 있도록 하는 것이 중요하다.Figure 7-1. Frequency Division
    리포트 | 10페이지 | 1,500원 | 등록일 2013.11.12
  • wimax 물리계층(physical _badtags) 요약 자료
    . outer code is a block code. Convolution code cleans up low-input SNR’s Block code cleans up the ... Wimax Physical Layer 2012. 11. 1 - -Outline OSI 7 Layer Comparing Wimax version Architecture of a ... Wimax rel 2 Family 802.16 802.16m Primay Use Wire lessMAN Wire lessMAN Radio Tech MIMO-SOFDMA MIMO
    리포트 | 30페이지 | 2,000원 | 등록일 2012.11.07
  • computational chemistry 예비보고서
    . 실험원리I. Geometry definitionGaussian input file에서는 system의 구조를 이론적으로 다른 두 가지 형식으로 나타낸다.A.Z-matrixB ... 할 수 있으며, input file에 나타낸 coordinate system에 따라 optimization이 행해진다.A.Opt=z-matrixB.Opt 혹은 opt ... - tail .f XXX.***kil - kill .f **** (PID : top 명령을 실행했을때, user 왼쪽의숫자)(4) Input file 만들기vi input.c
    리포트 | 13페이지 | 2,000원 | 등록일 2012.05.05
  • TBLT, task based language teaching, 과업중심교수법 발표용 PPT
    성 , 이용 가능한 정보에 의해 결정Background #3 task 의 정의 Skehan (1996): Focus on meaning+ real-life language Nunan ... (1989): 학습자가 목표언어로 이해하고 조작하고 생산하고 상호작용하는 교실활동 . 형식보다는 의미에 초점 Task based training 의 주요 관심사 : real ... input output processing ( 과업은 습득에 필요한 입력과 출력을 제공 ) Lexical units are central ( 어휘적 단위 ) #2 Theory of
    리포트 | 13페이지 | 1,000원 | 등록일 2012.12.27
  • 설계제안서(5bit Integer Divider by 3 and the A through J)
    to produce a 4-bit binary quotient and 2-bit remainder. The 5-bit inputs is available from toggle ... multiple-level optimization Draw a logic diagram or provide a netlist for the resulting circuit using ... to. 2-level, but when the gate input cost is smallest. Using K-map to represent an expression of
    리포트 | 20페이지 | 2,000원 | 등록일 2012.11.01
  • 통신실험 결과5
    following adjustmentsOn the Dual Function Generator-Channel AFUNCTION: ~FREQUENCY: 6 kHzATTENUATOR: 20 ... : 75 kHz (knob pushed-in)RF GAIN: 50% cwChannel A on the Dual Function Generator의 signal3. Connect ... OUTPUT A of the Dual Function Generator to the AUX INPUT of the direct FM Multiplex Generator and to
    리포트 | 14페이지 | 2,000원 | 등록일 2012.01.18
  • MIMO(Multiple Input Multiple Output)에 대해 설명하시오.
    MIMO(Multiple Input Multiple Output)에 대해 설명하시오.1. MIMO(Multi-Input Multi-Output)의 개요- 다중 송신안테나와 다중 ... 수신안테나를 사용하여 송수신 데이터 효율을 향상시킨기술- 다경로를 이용 데이터 처리속도와 전송범위,신뢰성,주파수 자원의 효율성을 높여줌- 이동통신 단말과 중계기등에 폭넓게 사용 ... 할 수 있는 차세대 이동통신 기술로 채택됨2. MIMO 의 특징- 무선기술이 당면하고 있는 속도와 범위라는 두가지 문제를 해결해 줌- 전송 속도를 높이거나 추가적인 주파수 스펙트럼을 사용하지 않고 데이터 용량을증가시킴- 기존 OFDM 표준들과의 역호환성을 지원함이하생략
    리포트 | 2페이지 | 1,000원 | 등록일 2011.02.18
  • 통신실험 결과보고서(Unit7)
    여라 그리고 다음의 지시된 값에 따라 설정 하여라.INPUT : 1MOMEGA MAXIMUM INPUT : 30dBmFREQUENCY RANGE : 0-30 MHZFREQUENCY SPAN ... 진다.Figure 7-2. Principle of frequency multiplexing.FM 스테레오의 도입은 기존에 사용 중인 모노 시스템과의 호환성을 유지하는 방법으로 이루어졌 ... kHz간의 채널 간격이 유지되어야 할 필요성도 있었으며 이는 호환성을 유지하도록 수정된 주파수 분할 다중화의 한 형태를 사용하여 이루어졌다.Figure 7-3. Stereo
    리포트 | 15페이지 | 2,500원 | 등록일 2013.12.19
  • 전기전자기초실험 Chapter 6 Audio Amplifier Circuit DesignPre-report
    audio amplifier in fig 6-1. This circuit has an input of AC voltage, and output of DC voltage ... AC input voltage. Capacitor makes better shape of DC, and voltage regulator makes stable 5V DC, to c ... magnetic field induces a varying electromotive force(EMF). Input voltage VP(Primary voltage, 120Vrms
    리포트 | 5페이지 | 1,000원 | 등록일 2011.12.18
  • Combination Logic Circuit Design
    to decode. In digital electronics, a decoder can take the form of a multiple-input, multiple-output ... logic circuit that converts coded inputs into coded outputs, where the input and output codes are ... different. Enable inputs must be on for the decoder to function, otherwise its outputs assume a single
    리포트 | 6페이지 | 1,000원 | 등록일 2010.11.03
  • 성인간호학실습, 중환자실, CASE, 부정맥, 급성신부전, CRRT, 투석간호, 간호진단-심박출량 감소, 출혈위험성, 전해질불균형, 섬망
    Acute renal failure(급성 신부전)※ 목차 ※Ⅰ. 서론: 연구의 필요성 및 목적 ------------------------- 2Ⅱ. 본론1. 문헌고찰 ------ ... ------------------------------------------ 22. 간호과정(1) 간호사정1) 대상자 건강사정 ----------------------------- ... ---------------------------- 122) V/S --------------------------------------------------------------
    리포트 | 48페이지 | 5,000원 | 등록일 2017.06.09
  • 외과중환자실 ICU-다발성 타박상 multiple contusion NOS
    < 성인간호학 임상실습 REPORT >Case studymultiple contusion NOS● 문헌고찰질환명multiple contusion NOS정의좌상, 타박상은 외부 ... 상처가 없이 내부조직이나 장기가 손상을 받은 상태이다.multiple contusion은 다발성 좌상을 말하고 신체의 여러 곳에 멍이 들거나 타박상을 입은 경우를 의미한다.NOS ... (9) 수면수면장애 여부 : ?무 ?유(10) 정서 상태 - 사정 불가능정서상태 ?편하다 ?편하지 않다 ?불안하다 ?슬프다 ?화난다현재 질병에 대한 느낌 ?걱정 된다 ?희망적이
    리포트 | 22페이지 | 3,500원 | 등록일 2015.01.01
  • 성인 외과 실습 Laceration of Liver (간 열상) , 다발성 외상 환자 케이스스터디
    성인 간호학 실습-case studyLaceration of Liver( 간 열상 )- 목 차 -Ⅰ. 서 론(케이스대상자 선정이유)Ⅱ. 문헌고찰? 간 열상 (Laceration ... 에 따른 예후- GradeⅠ~Ⅲ : 95% 이상 생존- Grade Ⅳ,Ⅴ : 75~80% 이상 생존? 척추 횡돌기 골절 (Fracture, transverse process of ... vertebra3. abrasion, face가계도 ; 배우자, 1남 1녀 중 둘째결혼여부 : 기혼교육정도 : 대졸이상직업 : 무종교 : 없음- 입원과 관련된 정보입원경로 : □ 외래
    리포트 | 21페이지 | 3,500원 | 등록일 2016.09.14
  • Education essay
    , faith, and thoughts) is delivered to people as inputs, the inputs and underlying or existed learning ... Berkeley (1685-1753) claimed that ideas derive from experience. (Schunk, 2012). I want to translate it ... theory because it already has complex and multiple characteristics when it is created, developed
    리포트 | 6페이지 | 2,000원 | 등록일 2014.02.12
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 05월 20일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감