• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(31,937)
  • 리포트(25,701)
  • 자기소개서(3,924)
  • 시험자료(972)
  • 방송통신대(652)
  • 논문(491)
  • 서식(145)
  • ppt테마(25)
  • 이력서(14)
  • 노하우(13)
판매자 표지는 다운로드시 포함되지 않습니다.

"자동차 설계" 검색결과 461-480 / 31,937건

  • [자동차, 유체, 해석] 유동조건에 따른 사이클론 최적설계
    최 종 보 고 서제 6 조담당교수님:팀장 : …………주제담당교수님:6조 자동차 설계 프로젝트주 제 : 유동조건에 따른 사이클론 에어필터 형상 최적설계◀목 차▶기본 이론 프로젝트 ... 로 인해 나타나는 현상을 분석 최적인 설계는 무엇인가 고찰하여 본다.2. 프로젝트 과정 (1)목표 : CFD를 활용한 사이클론 에어필터의 압력변화 및 집진효율을 고려한 형상 최적 ... 설계2. 프로젝트 과정 (2)10⊙ 효율 증대를 위해 디자인 모델 변경 - 표준 사이클론의 크기의 길이 비율에 따라#2.#1.3. 실험 방법 (1)※ 집진효율을 분석 사이클론 형상
    리포트 | 30페이지 | 2,500원 | 등록일 2005.09.21
  • [자동차, 유체, 해석] 유동조건에 따른 사이클론 최적설계
    유동조건에 따른 Cyclone 에어필터 형상 최적설계… , … , … , …Cyclone air filter shape most suitable design by flow c ... 동력요구량은 간단히 유체의 일을 송풍기/모터의 효율로 나누어 얻을 수 있다.2.4 집 진 효 율매우 간단한 모델을 사용하여 집진효율에 영향을 주는 싸이클론의 설계와 운전에 대해 ... 종합하여 보면 최적의 성능요인은 집진효율과 압력손실이다. 윈심력집진기의 구조, 운전조건 등을 중심으로 성능에 미치는 영향 및 설계 시 고려사항을 검토하면,1) 분진의 농도 증가
    리포트 | 9페이지 | 3,000원 | 등록일 2005.09.21
  • [금형학과,자동차과] 블랭킹 다이 설계
    Term Project이름~~Blanking Die 설계목 표아래 도면과 같은 제품을 전단 할 수 있는 블랭킹 금형(Blanking Die)을 설계한다.블랭킹 금형의 개요① 소재 ... , 인장응력 등이 작용하며 반복충격하중을 받기 때문에 충격하중이 심하면 좌굴이나 파손을 초래한다. 따라서 좌굴이나 파손없이 사용할 수 있는 필요 최소한의 길이로 설계한다. 펀치
    리포트 | 25페이지 | 2,000원 | 등록일 2005.09.21
  • [A+] 품질기능 전개(QFD) 조사보고서 / 품질기능전개의 목적/효과/강점/이점/한계/단점/사례/자동차문에 관한 QFD/도요타자동차/마우스 설계의 적용 사례/ Quality Function Deployment / 품질의집 / 고객만족
    복잡하게 만들 수 있다.7. 품질기능전개의 사례1) 자동차문에 관한 QFD도요타 자동차는 1960년대 중반에 첫 제품(소형 승용차)을 미국에 출시하였다. 그런데 문제는 경쟁차종인 ... 기능 전개 (QFD)는 신제품의 개념정립, 설계, 부품계획, 공정계획, 그리고 생산계획과 판매까지 모든 단계를 통해 고객의 요구가 최종 제품과 서비스에 충실히 반영되도록 하여 고객 ... 를 생산한다면, 시장에서 그 제품과 서비스는 퇴출 될 것이다. 따라서 고객의 요구는 제품 개발에 있어서 가장 중요한 정보라고 볼 수 있다. 이때 고객의 요구를 제품설계에 체계
    리포트 | 17페이지 | 1,500원 | 등록일 2011.11.11
  • [생산운영] 제품 & 서비스 설계(현대자동차)
    제품 서비스 설계 (현대자동차)ContentsChapter 1. 회사소개주요현황 경영방침 주요연혁신제품 도입전략 신제품 개발과정 모듈러 설계 동시공학 CADChapter 2 ... . 제품설계서비스 정의 서비스 설계 서비스 방법Chapter 3. 서비스설계Chapter 1. 회 사 소 개주요 현황 주요 연혁 경영 방침현대자동차㈜ Hyundai Motor ... 시간 단축CAD 설계효과2-5.1Chapter 3. 서 비 스 설 계서비스 정의 서비스 설계 서비스 방법현대자동차㈜ Hyundai Motor Company서비스 정의서비스
    리포트 | 30페이지 | 2,500원 | 등록일 2004.10.23
  • [조직구조설계] 조직구조설계(자동차)
    서 론한국 자동차 산업은 조직구조가 공식화할수록 더 효과적이라는 의견을 내세우기에 앞서 우리는 두 가지 전제된 사항의 두가지 측면, 즉 한국의 자동차 산업이라는 것과 공식화에 대 ... 해서 각각 살펴보도록 하자.① 자동차 산업먼저 자동차 산업의 대해서 간단하게 살펴보면, 자동차산업은 자본·기술 집약적인 종합기계공업으로서 전후방 연관 효과가 매우 큰 산업으로, 약 ... 과 연관성을 갖고 있다. 또한 자동차 산업은 수많은 하청업체(자동차 부품)들과의 관계를 맺고 있다.한국의 경우 70년대에는 거의 모든 부품을 수입에 의존하여 단순조립만하는 단계였으나
    리포트 | 10페이지 | 1,000원 | 등록일 2001.11.05
  • [교육학]교수 설계 딕 앤 캐리 dick & carey
    교수설계교수설계는 교사와 교수개발자에 의해 수행되는 전문적인 활동으로서, 효과적이고 효율적인 교수를 위하여 특정한 학습 내용이나 특정의 학습 진단에 대해 인간의 학습 지식 ... 과 커뮤니케이션 이론 등에 대한 연구를 기반으로 학습자의 지식과 기능면에서 기대하는 변화를 일으킬 수 있는 최적의 교수 방법이 무엇인지를 설계하고 수행하기 위한 체계적인 과정이다. 수업 ... 은 학습자들에게 교육과정에서 의도하고 있는 지적, 정의적, 심동적 행동변화를 일으키려는 목적 지향적인 활동인 만큼 체계적인 계획에 의하여 이루어져야 한다. 교수설계는 학자에 따라
    리포트 | 7페이지 | 1,000원 | 등록일 2006.11.24
  • [자동차설계] 에어백과 안전벨트에 대하여
    [1] 에어백의 구성요소(1) 센서류 센서에는 충돌예지 센서와 충돌감지 센서의 2종류의 형이 있다. 충돌예지센서는 레이저, 초음파, 레이더 등을 이용하여 충돌 전에 신호를 출력하게 함에 따라 백의 전개를 초기에 천천히 행하는 것이 가능하게 되어 구명성, 전개음의 저하 ..
    리포트 | 11페이지 | 1,000원 | 등록일 2003.04.01
  • 공학입문설계-에너지절약형 자동차에 관한 자료 조사 및 개인 의견 반영한 레포트.
    주제. 에너지 절약형 자동차.-고객의 입장에서의 요구사항-공학설계자의 입장에서 최적 설계-부연 : 내가 주문하는 것.(소비자의 요구사항)내가 주문을 받고 설계를 할 때-소주제설계 ... 사의 입장에서의 최적의 설계에 대해 먼저 토론하겟습니다?- 환경과 에너지 측면에서의 신에너지 자동차 개발(0)?- 컴퓨터 기술과 관련 무인자동차, 차량자동항법장치 사용(0)? ... 을 개선할 수 있으며, 교통통제 ? 도로계획 등과도 잘 맞기 때문에 환경 자동차(Eco-Car)라고도 부른다. 대표적인 모델로는 일본 도요타의 프리우스와 혼다의 인사이트가 대표적이
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.07
  • 자동차 서스펜션 설계 프로그램
    http://www.rahul.net/dennisp/suspension/DSP Suspension SoftwareDesign Your Car's Suspension on Your ... uspension design software lets you take into account various characteristics of your car, such as corner ... them. Determine which file you need based on the suspension type your car has, then click on the c
    리포트 | 10페이지 | 1,000원 | 등록일 2001.01.14
  • [VHDL] 자동차 과속 경보 장치의 설계 using VHDL
    을 발생시키는 기능을 수행한다.제 1 장 서 론여기서 설계하는 자동차 과속 경보 장치의 동작 원리는 다음과 같다.1. 자동차의 점화 플러그에서 자동차의 엔진이 회전할 때마다 주기 ... 가 표시된다.6. 비교기에서는 현재의 자동차 속도와 미리 설정한 기준 속도를 비교하여 기준 속도 이상이 되면 비교기가 작동되어 경보음을 발생시키도록 설계한다. ... Abstract자동차 과속 경보장치는 자동차의 점화 플러그에서 주기적으로 발생하는 신호를 이용하여 자동차의 현재 속도를 측정한 후에, 현재 속도가 기준 속도를 초과할 경우 경보음
    리포트 | 29페이지 | 1,000원 | 등록일 2003.07.03
  • [디지탈설계]4bit, 16bit carry look ahead(vhdl)
    all: CLA_4bit use entity work.CLA_4bit(digital_cla);signal carry4, carry8, carry12: std_logic;signal p, g: std_logic_vector(3 downto 0);
    리포트 | 2페이지 | 1,000원 | 등록일 2006.03.06
  • [CATIA] CATIA 를 이용한 자동차 KEY 설계하기 (Term Project_ 그림으로 상세설명)
    항공, 자동차, 소비재 산업분야에서 매우 광범위하게 적용되는 CAD/CAM/CAE 시스템이다.CATIA는 복잡한 기계 가공물의 설계, 디자인, 해석 및 CAM 데이터의 생성을 용이 ... 자동차 Key 제작에 대한CATIA 활용 연구3조 : 4605203 권동우4608838 강영진4615810 장충우4692360 민정기요 약본 연구 목적은 다양한 고객의 요구사항 ... 웨어이다.흔히 쓰이고 있는 자동차 Key Design제작과 NC가공까지의 일련의 과정을 연구하는데 중점을 두었다.먼저 제품에 따른 품질특성을 파악하고, 품질 특성에 대한 CATIA
    리포트 | 24페이지 | 3,000원 | 등록일 2005.02.06
  • [자동차 공학] 머플러 설계프로젝트 최종보고서
    최 종 보 고 서과제명 : 자동차 설계 PROJECT주제 : 가변식 머플러목 차● 머플러의 KS 규격● 머플러란? (배기계 (Exhaust System))○ 배기계의 구성 및 ... ● 머플러의 KS 규격1. 적용범위이 규격은 자동차용 소음기 및 배기관(이하 소음기 및 배기관이라 한다)에 대하여 규정한다.2. 종 류소음기의 종류는 원형 및 타원형의 2종류로 한다.3 ... 되는 음.배기음대 배기량의 Sports Car 등의 Idle, 발진 가속시의 배기 음색 특징의 하나임. Muffler 구조에 의해서 생기는 불협화음으로 탁하고 거친 단속적인 음.방사
    리포트 | 17페이지 | 1,000원 | 등록일 2002.06.20
  • 동부화재해상보험 자기소개서합격(동부화재인턴자소서+면접/자동차보험1위채용 (주)동부화재해상보험 자소서 동부화재 자기소개서 동부화재지원동기자기소개서인턴,동부화재해상보험자기소개서 동부화재해성보험면접질문 동부화재해상보험인턴자기소개서 동부화재영업관리자소서 동부화재보상관리자소서,동부화재상품업무 동부화재면접 경영관리 보험설계사 보험영업직 동부화재해상보험사무직자소서
    하리라 생각합니다. 이러한 성장가능성이 제 마음을 끌어 지원하게 되었습니다. 입사한다면 동부화재의 보험영업에 생명을 불어넣을 수 있도록 설계사들을 세세히 뒷받침할 것입니다. 그리고 ... 취득한 보험설계사 자격증에 이어 변액보험판매자 등 관련 자격증을 취득하면서 전문인으로 거듭날 것입니다. 면접후기 PT면접, 실무자면접, 임원면접으로 진행됩니다. 5인1조이며 실무자
    자기소개서 | 3페이지 | 3,000원 | 등록일 2016.11.27 | 수정일 2016.12.12
  • [verilog] carry look ahead 방식의 이해를 통해서 4bit adder의 설계
    목표: carry look ahead 방식의 이해를 통해서 4bit adder의 설계1. CLA 방식의 ADDERSource code 및 code 분석module carry ... _lookahead_4bit_adder(a,b,c0,s,c4); // carry_lookahead_4bit_adder라는 모듈 설정input [3:0] a,b; // a, b라는 4 ... bit 입력값 설정input c0; // 초기 carry c0라는 입력값 설정output [3:0] s; // s라는 sum을 의미하는 4bit 입력값 설정output c4; // c
    리포트 | 7페이지 | 1,000원 | 등록일 2004.11.27
  • 1. Lloyd`s (로이즈)의 역사와 발전과정 2. 보험계리사, 손해사정사, 보험설계사(보험모집인) 보험중개사 요약 3. 자동차보험 요약 4. 개인연금보험, 주택연금보험, 토지(농지)연금보험 요약 5. 제3보험에 관하여 정의, 특징, 종류 요약
    은 피보험물, 전문 선박·자동차·항공기 및 여러 비 해상분야에 보험 서비스를 제공한다. 엄격한 재정규칙들과 기타 규정들을 세워 놓고 있지만 직접 책임을 지지는 않는다. 회원 각자 ... 도록 서비스를 제공할 능력이 있어야 한다.3. 자동차보험 요약①자동차보험의 정의)1977년부터 취급되기 시작하여 명칭은 자동차종합보험이었으나, 1995년 약관개정과 함께 '자동차 ... 보험'으로 명칭이 변경되었다. 자동차 보험이란 자동차의 소유 또는 사용하거나 관리하는 자가 자동차를 소유, 사용, 관리하는 중에 생긴 배상책임손해, 자기신체손해 또는 자기차량손해 등
    리포트 | 12페이지 | 2,400원 | 등록일 2012.07.16
  • [시스템분석및설계] "달마 중고자동차 매매회사"의 업무흐름도
    "달마 중고자동차 매매회사"는 1988년 설립된 이래 월 평균 보유 차종 20여종, 보유차량 200여대의 중규모 회사로 "고객지향 경영의 실천'이라는 사훈을 가지고 사장 이하 ... 10여명의 직원이 단합되어 날로 발전을 거듭하고 있다.1-1 조직 현황달마 중고자동차 매매회사는 현재 3개의 부서로 나누어져 있으며, 영업에서부터 고객관리, 상담, 경리 및 정비 등 ... ·홍보 및 상담·차량상태 점검 및차량정보 관리·차량 임시 정비·매매 상담·자금 관리·계약 및 등록대행1-2 주요 업무 내용중고자동차 매매관리 업무는 주로 위탁 판매나 일반 판매
    리포트 | 4페이지 | 1,500원 | 등록일 2002.05.05
  • [전자계산기 설계] 4bit carry look ahead adder(verilog)
    module carry_lookahead_4bit_adder(a,b,c0,s,c4);input [3:0] a,b;input c0;output [3:0] s;output c4;4bit carry look ahead adder 임다... verilog프로그램 임다...
    리포트 | 1페이지 | 1,000원 | 등록일 2001.11.17
  • 현대자동차 최종합격 자기소개
    설계 경험]자동차는 사용 목적에 따라 설계 사양이 달라지기 때문에 안정적인 설계를 위한 역학적인 분석 능력이 필수라고 생각합니다. 이를 위해 학부시절 최대한 많은 전공지식을 습득 ... 이 발전함에 따라 제어의 효율성을 높이기 위한 최적 설계가 필요하다고 생각합니다. 이를 위해 먼저 자동차의 구조에 대해서 알아야 한다고 판단했습니다. 인터넷 자료와 강의를 활용 ... 한 ~직무에서 커리어를 쌓아 엔지니어로서 뿌듯함을 느끼고 싶습니다. 특히, 제품 특성상 장시간 운전을 하는 운전자와 승객들의 피로를 덜어주는 현가 시스템을 개발하고 싶습니다. 현대자동차
    자기소개서 | 3페이지 | 4,000원 | 등록일 2023.04.05
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 09일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감