• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트

디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)

wsk5468
개인인증판매자스토어
최초 등록일
2020.07.09
최종 저작일
2020.06
7페이지/워드파일 MS 워드
가격 3,000원 할인쿠폰받기
다운로드
장바구니

소개글

"디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)"에 대한 내용입니다.

목차

1) 1bit full adder의 설계과 구현
2) 4bit full adder의 설계와 구현
3) Testbench 코드 작성
4) 시뮬레이션 결과 분석 및 고찰

본문내용

실습 내용: ModelSim을 이용해 4bit full adder를 설계하고 테스트벤치를 이용해 시뮬레이션 파형을 구하고 파형을 분석한다.

-4비트 가산기의 구현 조건
1. 1bit full adder의 동작을 포함한다.
2. 1bit full adder를 설계할 때 XOR연산을 사용하지 않는다.
3-1. 입력 X의 초기값은 “0101”이고, 2ns간격으로 “1011”과 “0101”이 반복된다.
3-2. 입력 Y의 초기값은 “1001”이고, 5ns 간격으로 shift right logical 연산 동작을 한다.
3-3. Cin의 초기값은 ‘0’이고 3ns간격으로 1과 0이 반복되며 바뀐다.

1) 1bit full adder의 설계과 구현
먼저 1bit full adder를 구현한 뒤, 1bit full adder 4개를 연결한 방식의 4bit full adder를 설계할 것이다. 1bit full adder는 입력되는 비트 X, Y를 더하되, 이전 1bit full adder에서 자릿수 올림(carry)에 의해 출력된 캐리가 1이면 캐리까지 더하여서 그 합이 1이 넘으면 다음 비트의 가산기에 캐리 1을 전달하고 나머지 수를 Sum으로 전달하는 동작을 수행한다. 1bit full adder의 입출력을 truth table로 나타내고 output의 카르노 맵을 나타내면 다음과 같다.

< 중 략 >

2) 4bit full adder의 설계와 구현
4bit full adder는 미리 설계해둔 모듈 fulladder_1를 이용하여 만든다. 4bit full adder의 엔티티 fulladder_4를 선언하고, port를 선언할 때 입력 X, Y는 4비트 버스 입력으로, Sum은 4bit 버스 출력으로 선언한다. 4bit full adder의 아키텍처 선언과 begin 사이에 컴포넌트 fulladder_1의 포트를 엔티티 fulladder_1의 포트와 동일하게 선언하여 아키텍처에 fulladder_1의 객체 FA를 4개 선언한다.

참고 자료

논리설계 기초 | Charles H. Roth | 한티미디어 | chapter 8,10

자료후기(2)

wsk5468
판매자 유형Bronze개인인증
소개
회원 소개글이 없습니다.
전문분야
공학/기술
판매자 정보
학교정보
비공개
직장정보
비공개
자격증
  • 비공개

주의사항

저작권 자료의 정보 및 내용의 진실성에 대하여 해피캠퍼스는 보증하지 않으며, 해당 정보 및 게시물 저작권과 기타 법적 책임은 자료 등록자에게 있습니다.
자료 및 게시물 내용의 불법적 이용, 무단 전재∙배포는 금지되어 있습니다.
저작권침해, 명예훼손 등 분쟁 요소 발견 시 고객센터의 저작권침해 신고센터를 이용해 주시기 바랍니다.
환불정책

해피캠퍼스는 구매자와 판매자 모두가 만족하는 서비스가 되도록 노력하고 있으며, 아래의 4가지 자료환불 조건을 꼭 확인해주시기 바랍니다.

파일오류 중복자료 저작권 없음 설명과 실제 내용 불일치
파일의 다운로드가 제대로 되지 않거나 파일형식에 맞는 프로그램으로 정상 작동하지 않는 경우 다른 자료와 70% 이상 내용이 일치하는 경우 (중복임을 확인할 수 있는 근거 필요함) 인터넷의 다른 사이트, 연구기관, 학교, 서적 등의 자료를 도용한 경우 자료의 설명과 실제 자료의 내용이 일치하지 않는 경우

이런 노하우도 있어요!더보기

최근 본 자료더보기
탑툰 이벤트
디지털 논리회로(디논), ModelSim을 이용한 VHDL설계 (4bit full adder, 4비트 전가산기)
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업