• 통합검색(20)
  • 리포트(15)
  • 자기소개서(3)
  • 논문(2)
EasyAI “uart verilog” 관련 자료
외 1건 중 선별하여 새로운 문서 초안을 작성해 드립니다
생성하기
판매자 표지는 다운로드시 포함되지 않습니다.

"uart verilog" 검색결과 1-20 / 20건

  • UART TX verilog 코드
    UART TX에 대한 verilog 코드, testbench 코드 및 사용 설명서입니다. Mealy state machine을 이용해 설계한 UART TX에 대한 코드입니다 ... 실장을 목적으로 작성된 코드)모듈 사용 전 동봉된 word파일 (설명서)를 참고하시기 바랍니다.-UART TX specification8 bits Data , 1 stop bits ... 형식 UART TX형식 지원 (기타 형식이 필요하신 경우 메일로 문의)16 byte FIFO 지원Even/Odd parity bit 지원1200,2400,4800,19200
    리포트 | 1,000원 | 등록일 2017.05.28
  • UART verilog 코드 (RX & TX 합본)
    UART TX및 RX에 대한 verilog 코드, testbench 코드 및 모듈 설명서입니다. Mealy state machine을 이용해 설계한 UART 모듈에 대한 코드 ... 가 아닌 실장을 목적으로 작성된 코드)모듈 사용 전 동봉된 word파일 (설명서)를 참고하시기 바랍니다.-UART specification8 bits Data , 1 stop bits ... 형식 UART TX형식 지원 (기타 형식이 필요하신 경우 메일로 문의)Even/Odd parity bit 지원1200,2400,4800,19200,38400,57600,115200
    리포트 | 2,000원 | 등록일 2017.05.31 | 수정일 2024.02.02
  • UART-직렬 통신 _Verilog
    1. 송신부 Code`timescale 1 ns / 1 psmodule Uart_trans (TxD, Txrdy, wr, din, clk1);input wr,clk1;reg
    리포트 | 8페이지 | 1,500원 | 등록일 2011.05.23
  • verilog hdl을 이용한 uart 설계
    trength strong0 strong1))(_model . UART_tb 6 -1))I 000045 55 6009 1103336277780 UART(_unit VERILOG 6 ... ..FILE:UART.aws[Version]Version=6.3[Designs]uart=.\UART\UART.adf[Browser]sort=ordermode=none[Order ... ]order=1macro=[Expand]uart=1..FILE:library.cfg$include = "C:\Program Files\Aldec\Active-HDL 6.3\vlib
    리포트 | 2,500원 | 등록일 2005.01.13
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 7 보고서
    important basic logic. For this, we should study the concept of SoC, PL, PS, master/slave, and UART ... ),.FIXED_IO_ps_srstb(FIXED_IO_ps_srstb),.UART0_rxd(UART0_rxd),.UART0_txd(UART0_txd));endmoduleThe software ... _ps_srstb;wire [7:0]LED; //the added variablewire UART0_rxd;wire UART0_txd; //declare the ports also
    리포트 | 16페이지 | 3,000원 | 등록일 2020.08.18
  • 칩 테스트를 위한 UART-to-APB 인터페이스 회로의 설계 (UART-to-APB Interface Circuit Design for Testing a Chip)
    design a circuit for UART-to-APB interface. Circuits designed using Verilog-HDL were implemented in ... 는 FPGA로 데이터를 입력해야 한다. PC와 외부 보드를 통한 칩과의 통신을 위한 많은 방식이 있지만 가장 간단하고 쉬운 방법은 범용 비동기화 송수신기 (UART ... architecture) 버스에 연결되도록 설계되어 있다. 즉, 설계된 회로를 검증하기 위해서는 UART를 거친 후에 AMBA 버스를 통해 데이터를 전달해야 한다. AMBA 버스도 최근
    논문 | 8페이지 | 무료 | 등록일 2025.07.12 | 수정일 2025.07.19
  • 판매자 표지 자료 표지
    현대자동차 2021년 전자제어시스템 개발 합격 자기소개서
    교육 과정을 이수하였습니다. 이 교육에서 RCC로 클락을 제공한 후, GPIO, EXTI, AFIO 레지스터들을 제어하고 UART, TCP/IP 환경에서 데이터를 송/수신하는 실습 ... 고, 레지스터와 조합논리를 합쳐 FSM을 설계하는 역량을 습득하였습니다. 또한, Quartus와 Modelsim 사용법을 익히고, 하드웨어 기술 언어인 Verilog를 이용하여 디지털 시스템 및 Testcase를 만들고 이를 FPGA Board에 구현하는 역량을 키웠습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.10.17
  • 판매자 표지 자료 표지
    현대오토에버 2021년 전장 SW 합격 자기소개서
    대학에서 차량 디스플레이 시스템을 Verilog로 제어하거나 스마트키 FW를 개발하며, '자동차를 제어하는 SW 엔지니어'를 미래의 업으로 삼자고 확신하게 되었습니다.그러던 어느날 ... , GPIO, EXTI, AFIO 레지스터들을 제어하는 역량을 쌓았습니다. 또한, UART, TCP/IP, MQTT, WIFI 환경에서 데이터를 송/수신하는 실습을 진행하며 SW
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.10.17
  • 판매자 표지 자료 표지
    SK하이닉스 대졸 설계 신입 최종합격 자기소개서 및 경험기술서
    되는 문제가 있었습니다. 이를 해결하기 위해 각자의 역할과 책임을 다시 한번 정의했고, 각자 맡은 작업을 매주 공유하기로 했습니다. 하드웨어 설계 단계에서는 제가 Verilog를 사용 ... 개발에서는 UART 통신을 통해 입력된 데이터를 처리하는 프로그램을 작성했습니다. ASCII 인코딩 문제는 팀원 모두 함께 분석해 안정적인 데이터 전송을 확보할 수 있었습니다. 그
    자기소개서 | 3페이지 | 16,800원 | 등록일 2024.12.23
  • OpenRISC 프로세서와 WISHBONE 버스 기반 SoC 플랫폼 개발 및 검증 (Development and Verification of SoC Platform based on OpenRISC Processor and WISHBONE Bus)
    의 SW 개발환경이 구현 및 검증되었다. 설계된 IP와 SoC는 Verilog HDL로 기술된 테스트벤치를 이용한 모듈 수준 기능검증, 최상위 블록 수준 기능검증, ISS를 이용 ... reconfigurable, includes the OpenRISC embedded processor, some basic peripherals such as GPIO, UART, debug ... software development. Designed SoC, IPs and Testbenches are described in the Verilog HDL and verified
    논문 | 9페이지 | 무료 | 등록일 2025.07.18 | 수정일 2025.07.21
  • 11.15(UART)
    soc 실습 보고서(화요일 13:00)1. 실습 제목UART2. 실습 목표verilog HDL 코드를 작성하여 두 개의 테스트벤치 파일을 만들어 UART를 설정한다.테스트벤치 ... ,FAILED!",sbuf);endendinitial begin#10000;force oc8051_uart1.rxd=1'b0;#10000;(추가된 부분)release oc8051_uart1 ... ------------------------------4. 실습 결과(simulation 파형)5. Disscussion이번주는 저번 시간과 마찬가지로 UART에 대해 실습을 하
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.15
  • 11.1(UART)
    soc 실습 보고서 1. 실습 제목UART2. 실습 목표verilog HDL 코드를 작성하여 테스트벤치 파일을 만들어 UART를 설정한다.테스트벤치 파일에서 bit_in의 타이밍
    리포트 | 2페이지 | 1,000원 | 등록일 2011.12.15
  • 10.25(UART)
    Report1. 실습 제목UART2. 실습 목표verilog HDL 코드를 작성하여 테스트벤치 파일을 만들어 UART를 설정한다.테스트벤치 파일에서 byte operation ... /oc8051_timescale.v"`include "../src/includes/oc8051_defines.v"module tb_oc8051_uart__0;reg rst;reg ... ;wire [7:0] sbuf;wire intr;reg pres_ow;reg t1_ow;reg brate2;reg rclk;reg tclk;reg rxd;wire txd;Uart
    리포트 | 5페이지 | 1,000원 | 등록일 2011.12.15
  • 연세대 전기전자응용실험 보고서, 코드, 강의노트 자료
    modules and parameters3. Modify the PS7 DDR and make 'UART0' as an external port on the I/O ... peripheral.4. These settings are created as a module by ‘create Top HDL’, and bacome verilog source file
    리포트 | 5페이지 | 2,000원 | 등록일 2018.08.21
  • 디지털 시스템 설계 - UART 를 이용한 FPGA의 LCD 구동
    디지털 시스템 설계Project 2Problem Statement and Design Specification이번 프로젝트는 1차 프로젝트에서 수행하였던 complete UART ... 의 활용의 한 예라고 할 수 있다. 이번 프로젝트의 큰 틀은 바로 UART를 통해 데이터를 전송시키고 전송된 데이터를 LCD로 다시 보내어 이를 출력하는 것이다. 프로젝트의 큰 ... block diagram은 다음과 같다.상기의 system은 2개의 UART module과 LCD controller, FPGA 단으로 구성되어 있다. UART1에서 UART2
    리포트 | 13페이지 | 3,000원 | 등록일 2009.09.01
  • 디지털 시스템 설계 - UART 설계
    와 병렬 통신 포트를 연결해 주는 integrated circuit이다. 이 UART는 크게 Receiver, Transmitter, 그리고 Baud Rate Generator ... 로 나뉘어져 있다. 이번 프로젝트는 이 UART를 위에서 제시한 Receiver, Transmitter, 그리고 Baud Rate Generator 로 partitioning을 해서 ... 구현하는 것이다. 아래 그림은 UART의 설계도이다..UART의 spec을 알기 전에 먼저 각 sub-module의 specification을 알아 보도록 하자
    리포트 | 1페이지 | 4,000원 | 등록일 2009.09.01
  • FIFO(First in First out)
    `timescale 1ns / 1psmodule FIFO (dout, full, empty, din, push, pop,clk, rst);input [7:0] din;input push, pop,clk, rst;output [7:0] dout; wire [7:0]..
    리포트 | 8페이지 | 1,500원 | 등록일 2010.12.09
  • Verilog HDL 소스코드
    실습과제 수행일 : 2009-03-20일실습내용 : 실습과제 1[문제 1] 다음 회로를 Primitive Gate들을 사용하여 Verilog HDL로 작성(설계 ... Waveform[문제 2]다음 회로를 assign 문을 사용하여 Verilog HDL로 작성(설계)하고 ModelSim 시뮬레이터를 사용하여 검증하세요.- DUT Source
    리포트 | 4페이지 | 3,000원 | 등록일 2009.04.29
  • [OFDM]FPGA를 이용한 OFDM 모뎀 구현
    .3.1 OFDM MODEM의 원리2.3.2 OFDM MODEM 구현2.3.2.1 Mapper & Demapper2.3.2.2 IFFT & FFT2.4 UART 구현2.4.1 ... FPGA UART 구현2.4.1.1 UART 송신부2.4.1.2 UART 수신부2.4.2 PC 파트 OFDM Client 구현2.4.2.1 MFC 소개2.4.2.2 MFC를 이용한 ... 시리얼통신2.4.2.3 OFDM Client 구현3. 결과3.1 OFDM 블록의 MATLAB 시뮬레이션3.2 OFDM MODEM의 HDL 시뮬레이션 및 합성3.3 UART 송수신기
    리포트 | 81페이지 | 10,000원 | 등록일 2005.12.18
  • [통신]Uart를 이용한 통신
    실험 4. Uart를 이용한 통신( 예 비 보 고 서 ){1. 실험 목적Uart를 사용하여 컴퓨터와 Training Kit를 서로 연결해 보고, 이를 통해 Serial 통신 ... 하는 장치를 말한다. 모든 컴퓨터에는 직렬 포트를 관리하기 위해 UART가 있고, 모든 내부 모뎀도 각자의 UART를 가지고 있다.명확하게 말하면, UART는 컴퓨터에게 RS-232C ... DTE 인터페이스를 제공함으로써, 모뎀이나 기타 다른 직렬장치들과 통신하거나 데이터를 주고받을 수 있게 한다. 이 인터페이스의 일부로서, UART는 또한 다음과 같은 일을 수행
    리포트 | 3페이지 | 1,000원 | 등록일 2005.12.08
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 21일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:28 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감