• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(425)
  • 리포트(370)
  • 논문(40)
  • 시험자료(9)
  • 자기소개서(2)
  • ppt테마(2)
  • 방송통신대(1)
  • 이력서(1)

"Loop design" 검색결과 1-20 / 425건

  • 파일확장자 Boost converter closed loop design
    Closed Bode diagram 추종하는 크기와 위상을 확인하고 시정수에 따른 정상상태 오차와 응답속도를 확인하였습니다.Step3 : Plant +Controller Open Loop ... Controller Bode Diagram Phase maegin은 70〬〬로 적당하고 DCgain은 무한대로 가짐.step4 : Plant +Controller Closed Loop
    리포트 | 8페이지 | 2,000원 | 등록일 2009.06.10
  • 워드파일 2021년 1학기 소프트웨어공학 중간과제몰 공통형 문제 1번만
    Requirement Analysis 사용자의 요구를 파악하는 것 또는 프로젝트의 목적을 정의하는 것 요구사항 명세는 간단한 메모부터 사용자 매뉴얼까지 다양한 형식의 문서를 모두 포함 Design ... 프로젝트가 개발자의 일상적인 업무로 이루어져 있다는 철학을 기반으로 개발자의 관점에서 소프트웨어 개발을 조망 구성 요소 선형 문제 해결 루프(Linear Problem-Solving Loop ... 갱신되고 문제 해결 루프 반복 선형 문제 해결 루프 이론 상의 프랙탈 문제 해결 루프 현실의 프랙탈 문제 해결 루프 프랙탈 문제 해결 루프(Fractal Problem-Solving Loop
    방송통신대 | 5페이지 | 5,000원 | 등록일 2021.04.22
  • 한글파일 실습일지업스타일헤어스타일링0000학년도 제0 학기
    프런트의 디자인(Front design)12 11. 꼬기(Twist)13 12 매듭(Knot)14 13. 겹치기(Overlaps15 14. 땋기(Braids)16 15. ... 고리(Loops)17 16. 롤(Rolls)18 17 Short Hair Up Style19 ◆참고자료 [열두손가락 업 스타일] 김환, 도원출판사, 2001.10.04. ... (double loop)-한개의 섹션으로 두개의 고리를 만드는 것 3)크기/ 수에 따른 고리-머리 가닥을 말기 전의 손가락이 위치는 고리의 크기를 결정.
    리포트 | 19페이지 | 2,000원 | 등록일 2023.06.06
  • 워드파일 화학반응공학설계4
    이 두 가지로 발효공정을 하는데 있어서 stirred reactor 보다 internal-loop airlift reactor가 더욱 적합하다. ... 문제풀이 1) Design a reactor to process 100 m3/day of 2 mol/L of fumaric acid.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.08.31
  • 엑셀파일 Loop connection(루프이음)
    The design of a loop connection should be checked and the transverse reinforcement designed.40.110 4.23 ... : 2021 철근콘크리트용 봉강 Example 7-6 design of loop connection호칭명"단위 무게 (kg/m)""공칭 지름 d(mm)""공칭 단면적 S(mm2)" ... fib bulletin 43 Structural connections for precast concrete buildings 7.5.1 Loop connectionsKS D 3504
    리포트 | 2페이지 | 2,500원 | 등록일 2024.02.22
  • 파워포인트파일 발표용헤어업스타일고리LOOP기법
    고리 (Loop 루프 ) 개념 고경숙 , 윤복연 (2009 ) UP STYLE DESIGN- 적당량의 모발을 고리 모양으로 돌리는 기법 크기 , 길이 , 방향 ( 시계방향 CC, 시계반대방향 ... - 고경숙 , 윤복연 , 청구 출판사 ( 2009 ) *Hair design and visagism - , 청구 출판사 ( 2000) 류은주 외 공저 {nameOfApplication ... ) 적용 * 열 두 손가락 업 스타일 - 김 환 , 도원 (2001 ) * 크리에이티브 업 스타일 - 박은준 외 공저 , 메디시안 ( 2018) 참고자료 32 * UP STYLE DESIGN
    리포트 | 27페이지 | 2,000원 | 등록일 2023.08.03
  • 워드파일 경북대 벨루볼루 자동제어 기말고사 족보
    of no less than 0.7 for the closed loop system. ... Design an appropriate controller to meet toe following specifications. ... The open-loop transfer function of a unity feedback system is given by Sketch the root locus of the system
    시험자료 | 3페이지 | 5,000원 | 등록일 2024.01.23
  • 파일확장자 신뢰성기반 최적설계에서 수치적 안정성과 효율성의 개선을 위해 수정된 Single Loop Single Vector 방법
    (reliability-based design optimization) by decoupling the nested iteration loops. ... SLSV(single loop single vector)방법은 신뢰성기반 최적설계(reliability-based design optimization, RBDO)에서 중첩된 반복과정을 ... 본 논문에서는 수정된 HMV(hybrid mean value)방법, Inactive Design, Active MPP(most probable point) Design의 적용을 통해
    논문 | 9페이지 | 4,000원 | 등록일 2023.04.05
  • 파일확장자 필터를 통한 임베디드 시스템 제어기의 제어루프 주기 불일치 문제 해결방안 연구
    For motor controller designers, building a simulation environment is not a difficult process. ... , 1kHz for the speed loop, and 100Hz for the position loop when implementing the actual HW embedded system ... After verifying the controller by simulation, it is common to select 20kHz for the current control loop
    논문 | 7페이지 | 4,000원 | 등록일 2024.01.29
  • 한글파일 A+맞은 마이크로프로세서 어셈블리 언어 Addressing Mode 결과레포트
    Can you design your own loop ( say 20 times, or 40 times ) by yourself ? ... 빌드를 하고 디버깅을 하여 F11로 Loop를 한번 실행했을 때는 첫 번째 값인 03이 r0에 저장되었고 두 번 실행했을 때는 9F가 저장이 되고 Loop를 모두 수행하였을 때는 09가 ... 위의 그림은 Loop를 5번 실행한 데이터입니다. 위의 그림은 Loop를 10번 모두 실행하여 Memory Bank1이 모두 Memory Bank2로 옮겨진 모습입니다.
    리포트 | 6페이지 | 2,500원 | 등록일 2021.05.01
  • 워드파일 (22년) 중앙대학교 전자전기공학부 전자회로설계실습 결과보고서 2. Op Amp의 특성측정 방법 및 Integrator 설계
    R = =1 kΩ, C = 0.47 F의 design parameter로 Integrator를 설계하고, input pulse로 2V, 250Hz의 사각파를 인가하고 2ms 뒤의 출력파형을 ... 설계실습 결과 4.1 Offset Voltage 측정 (A) Open Loop Gain : 그림 4.1의 회로를 bread board에서 구현하고 그 출력 파형을 제출한다. ... 이러한 출력파형이 나타나는 것은 offset voltage가 op amp에 의해 증폭되어 출력되는데 실험에 사용한 LM741의 data sheet에 명시된 open loop gain은
    리포트 | 3페이지 | 1,000원 | 등록일 2023.02.12
  • 한글파일 조선대학교 A+ / 메카트로닉스 중간고사 과제 레포트
    While Loop 2. 시프트 레지스터 3. 케이스 구조 4. 이벤트 구조 ?(변경) 5. 열거형 상수 ?(변경) 설계과정 1. 필요한 열거형 상수들 0. 초기화 1. ... 50원 투입 -> 1000원 투입) 상태머신 디자인 패턴 (State machine design pattern) 상태머신 디자인 패턴에 이용될 구성 및 변경될 코드 1. ... -변경후 음료 자판기- 상태머신 디자인 패턴 코드 (State machine design pattern) 변경 전 콜라 자판기 상태머신 디자인 패턴 코드 둘을 비교해 보면 새로운 종료
    리포트 | 7페이지 | 3,000원 | 등록일 2023.07.13
  • 한글파일 2 STAGE OP-AMP DESIGN
    2 STAGE OP-AMP DESIGN 1. 설계 주제 2 STAGE OP-AMP DESIGN 2. ... 설계 과정 1) Open loop gain [그림 2]는 Open loop 회로는 시스템의 출력이 입력 조절에 반영되지 않는 회로로, 피드백을 하지 않은 회로를 말한다. ... [그림 2] [그림 3]은 Open loop에서 위상과 크기를 측정한 것이다. 100과 1k 사이에서 pole이 하나 있다.
    리포트 | 10페이지 | 4,500원 | 등록일 2020.01.22 | 수정일 2024.02.26
  • 한글파일 공정제어 기말고사 예상문제
    Which one would you choose as the basis of your controller design? ... Consider the closed-loop system in (a) Derive the closed-loop transfer function C/R. ... that G _{v} (s)= {0.5} over {5s+1} G _{p} (s)`=` {1} over {(s+1)(0.1s+1)} The cascade controller is designed
    시험자료 | 3페이지 | 2,000원 | 등록일 2022.01.31
  • 파일확장자 쌍선형 변환법을 이용한 서어보 제어계 설계
    roots of the closed loop system in a specified region satisfying a design specification for the given ... , is proposed using a bilinear transformation method which assigns the poles of the closed-loop system ... In the design problem of servo control system, it is supposed that the proposed design method has more
    논문 | 7페이지 | 4,000원 | 등록일 2023.04.05
  • 파워포인트파일 카림라시드의 이해와 디자인작품분석
    FINISHES PACKAGING RESIDENTIAL ARCHITECTURAL GRAPHIC FASHION TABLETOP ART EXHIBITION P R O J E C T LOOP ... By Karim Rashid DESIGN MUST EVOLVE US AND CREATE A BEAUTIFICATION AND BETTERMENT FOR SOCIETY.” “ 디자인은 ... 전공 ( 캐나다 ) 1993 년 카림 라시드 스튜디오 설립 4000 개 이상의 디자인 활동 , 300 개 이상의 수상경력 , 40 개국 이상에서 활동하고 있는 세계적인 디자이너 Design
    리포트 | 41페이지 | 4,900원 | 등록일 2020.07.07
  • 한글파일 Primer design_일반생물학및실험1
    6주차 - Primer design 1. Title : Polymerase chain reaction(PCR)을 위한 primer 제작 2. Date : 3. Name : 4. ... Methods < Primer design시 유의사항 > ① 길이는 20~30bp가 적당하다. ② G+C%가 50~60%로 구성되며 purine과 pyrimidine 염기의 연속적인 ... FANCA유전자의 PCR을 하기 전에 필요한 primer를 제작하고 Primer design시 유의해야 하는 사항들의 이유를 알아보면서 가장 적합한 primer를 선정해보았다. 1.
    리포트 | 5페이지 | 2,000원 | 등록일 2021.01.07 | 수정일 2021.06.28
  • 워드파일 PLL 예비보고서
    실험회로 및 시뮬레이션 결과 ↓ 실험 PLL 회로도 실험을 진행하기 위한 값 설계 Design , to make 50(kHz) for VCO frequency with = 1kΩ, ... Feedback loop에 Divider를 추가하여 noise 없는 저주파 상태에서 Phase Detect를 하는 것이다. ... 실험 제목: Phase locked Loop 실험에 관련된 이론 사용 TI 보드 - Analog System Lab Kit PRO(Texas instrument) 해당 ASLK PRO는
    리포트 | 4페이지 | 1,000원 | 등록일 2022.05.01
  • 한글파일 RF 리모컨
    그렇게 loop속에 공진부가 존재함으로써, loop안에서는 공진부에 의해 선택된 특정 주파수만 돌게 만든다. ... 지고' 리모컨 기술의 세대 교체 (밍그라빠의 디스플레이 포털) [2] liebestraum (http://www.cyworld.com/liebestraum_No3) [3] RF DESIGN ... 즉 이렇게 gain을 가지는 loop에, 정확히 원하는 주파수를 선택해서 발진시킬 수 있는 공진부(resonator)가 필요한 것이다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.23 | 수정일 2023.10.10
  • 워드파일 Vivado를 이용한 half adder, full adder, 4 bit adder의 구현 예비레포트
    반면 always의 경우 시작 시간 때 동작을 하고, 계속해서 loop를 동작시킨다. 따라서 always를 이용하여 clock을 출력할 수 있다. ... 사용된다. sensitive list가 필요 없이 동작하는 경우에 사용한다. wire type에서 사용 가능하고 register type에서는 assign문을 사용할 수 없다. 3. design
    리포트 | 6페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업