• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(683)
  • 리포트(662)
  • 시험자료(13)
  • 논문(4)
  • 자기소개서(2)
  • ppt테마(2)

"3 to 8 decoder" 검색결과 1-20 / 683건

  • 한글파일 3-8 decoder verilog code (+test bench code), 3to8 decoder. 3-8 디코더
    8'b10111111; 3'b010 : otmp = 8'b11011111; 3'b011 : otmp = 8'b11101111; 3'b100 : otmp = 8'b11110111; 3 ... 'b101 : otmp = 8'b11111011; 3'b110 : otmp = 8'b11111101; default : otmp = 8'b11111110; endcase Y0 = otmp ... ; end else begin itmp = {Ctmp, Btmp, Atmp}; case (itmp) 3'b000 : otmp = 8'b01111111; 3'b001 : otmp =
    리포트 | 5페이지 | 1,000원 | 등록일 2014.06.13
  • 한글파일 디지털시스템 실험(decoder, Binary to BCD converter), 2-to-4, 3-to-8 라인디코더+testbench포함
    토의 이번 실험은 2-to-4 decoder, 3-to-8 decoder, Binary to BCD converter, 그리고 BCD to 7segment decoder를 설계하고 ... 이용하여 3-to-8 코드를 작성하고 그에 맞는 Testbench를 작성하였다. 4. 3-to-8 코드를 시뮬레이션을 돌리고 올바르게 작동하는지 체크하였다. 5. ... 설계 ② Binary-to-BCD Convertor 설계 실험목표 ① 2-to-4, 3-to-8 라인 디코더를 설계한다. ② Binary-to-BCD Converter, BCD-to
    리포트 | 7페이지 | 1,500원 | 등록일 2014.11.03 | 수정일 2018.05.16
  • 파일확장자 [Flowrian] 3-to-8 Decoder (TTL 74138) 회로의 Verilog 설계 및 검증
    동작 사양본 회로의 3개의 단자에 입력되는 이진수 값을 디코딩하여 그 값에 해당되는 출력 단자를 하나 선택하여 약속된 논리값을 출력하는 디코더 가능을 수행하는 조합논리회로이다.
    리포트 | 7페이지 | 1,000원 | 등록일 2012.07.13
  • 파일확장자 [Flowrian] 3-to-8 Decoder (TTL 74137) 회로의 Verilog 설계 및 검증
    1. 3-to-8 Decoder(TTL 74137)회로의 Verilog 설계 및 검증* 동작 사양- 본 회로는 3개의 단지에 입력되는 이진수 값을 디코딩하여 그 값에 해당되는 출력 ... 단지를 하나 선택하여 약속된 논리값을 출력하는 디코더(Decoder) 회로 이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2012.06.05
  • 한글파일 아주대 논리회로실험 실험5 Decoder & Encoder 예비보고서
    입력이 2 ^{n}개, 출력이 n개인 인코더를 2 ^{n}-to-n 인코더라고 하고, 아래 Truth Table은 8-to-3 인코더의 입출력을 보여준다. ... Truth Table을 작성한다. - 실험2(BCD to Decimal Decoder) 1) 다음 회로도와 같이 74HC42를 준비하여 Bread Board에 연결한다. 2) 입력 ... , and to disclose them to affected parties when they do exist; 3. to be honest and realistic in stating
    리포트 | 10페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • 워드파일 시립대 전전설2 Velilog 결과리포트 5주차
    배경 이론 3x8 decoder 디코더는 해독기를 의미하며 임의의 입력 번호에 대응하는 출력만을 활성화 시킨다. ... 그중 3x8 디코더는 3개의 입력선과 8개의 출력선을 갖는 디코더를 의미한다. ... 마지막으로 응용과제인 BCD to Excess - 3 Code Convertor를 분석해보자.
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 한글파일 서울시립대 전자전기설계2(전전설2) 8주차 사전보고서
    왼쪽으로 shift 되었을 때 값이 5 이상일 면 add3 를 해준다. 3. 1번을 반복한다. 4. 기존 2진법 수가 8 bit 로 이루어져 있다면 8번 shift 해야한다. ... 실습 5(binary to bcd conversion algorithm 조사) 실습 5는 들어온 8비트 입력의 값을 음수를 포함하는 10진법 입력의 값으로 변환하여 출력하는 모듈이다 ... 실습 3 점을 포함한 8비트 신호의 7-segment 설계 앞에서 작성한 7-segment 코드가 점을 제외한 코드였다면 현재 작성하는 8비트 신호의 7-segment는 숫자 뒤에
    리포트 | 13페이지 | 1,500원 | 등록일 2019.11.05
  • 파일확장자 고려대학교 디지털시스템실험 A+ 3주차 결과보고서
    이번 실험을 통해 배열을 표현하는 방법 등 여러 가지 베릴로그 문법에 대해 배울 수 있었습니다. 또한 코드를 컴파일하는 과정에서 많은 오류가 발생하였고, 질문을 통해 다양한 오류의 해결 방법 을 배울 수 있었습니다. 디지털 시스템 수업 시간에 배운 디코더를 직접 코드로..
    리포트 | 4페이지 | 2,000원 | 등록일 2023.06.21
  • 한글파일 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    응용실험(3)은 BCD to 7-segment decoder 7447의 ??눙穗?을 알아보는 실험이었다. ... 그리고 AND 게이트의 3,6,8,11번 핀을 각각 LED 1,2,3,4번에 연결한다. (결과값 각각 Y0,Y1,Y2,Y3) 위의 표와 같이 X값이 도출 된다. ... 이번 실험은 BCD to 7-segment decoder 7447을 이용하여 7-segment 5161에 원하는 숫자를 출력하는 실험이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • 한글파일 디지털시스템실험 3주차 예비보고서
    이 때 이 모듈이 Top-level 로 설정한 후 시뮬레이션이 가능하다. ② 3-to-8 Line Decoder 구현 1. 3-to-8을 만드는 방법은 ① 번 방법과 마찬가지로 진리표를 ... 실험방법 ■ 라인 디코더 구현 ① 2-to-4 Line Decoder 구현 1. 2-to-4 Line Decoder를 위한 진리표를 그린다. 2. ... 그러나, 3-to-8 디코더는 3비트 코드를 해독하여 코드의 각 구성 성분마다 하나씩, 전부 8개의 출력을 제공하는데 사용할 수 있다. ② Binary-to-BCD Converter
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 한글파일 홍익대 디지털논리실험및설계 4주차 예비보고서 A+
    동작하는 원리를 자세히 설명하시오. - 4-to-1 멀티플렉서 74153과 2-to-1 멀티플렉서 74157으로 구성된 회로이다. - 8-to-1 멀티플렉서는 8개의 입력 중에서 ... 74153, 2-to-1 Multiplexer 74157, 1-of-4 Decode r 74139, 3-INPUT AND 게이트 7411의 datasheet 를 확인하시오. - 4 ... 입력값은 I0~I7 으로 총 8개이므로 이진수로 암호화하면 3개의 비트가 필요하다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 한글파일 [논리회로실험] 실험5. Decoder&Encoder 결과보고서
    실험 2의 경우 BCD to Decimal Decoder로 같은 decoder지만 이진수를 입력값에 넣었을 때 십진수값으로 출력해주는 역할을 한다. ... _{1} 로 표현할 수 있다. 2) 실험 2 : BCD to Decimal Decoder (생략) - 주어진 회로를 구성한다. - 입력 값을 변경해가며 변화를 관찰한다. - 실험결과 ... A B C D Y _{0}Y _{1}Y _{2}Y _{3}Y _{4}Y _{5}Y _{6}Y _{7}Y _{8}Y _{9} 0 0 0 0 0 1 1 1 1 1 1 1 1 1 0 0
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.28
  • 한글파일 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    Let the values denoted by SW _{15-12}, SW _{11-8}, SW _{7-4}, SW _{3-0} be displayed on HEX3, HEX2, HEX1 ... to include circuit B in Figure 1 as well as the 7-segment decoder. ... 실험내용 ⑴ Summary We wish to display on the 7-segment displays HEX3 to HEX0 the values set by the switches
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • 한글파일 [논리회로실험] Decoder & Encoder 예비보고서
    D _{4} 0 0 0 0 0 1 0 1 0 0 1 0 1 0 0 1 0 0 1 1 1 0 0 0 2) 실험 2 : BCD to Decimal Decoder - 주어진 회로를 구성한다 ... 대응하는 2진 코드를 생성함 - Decoding의 역과정 - 활용예시로는 압축이 있음 ex) 8x3 Encoder Truth table D _{7}D _{6}D _{5}D _{4}D ... S _{2}' S_3' S_4')' D_4= S_5 4) 실험 4 : 8X3 Priority Encoder - 주어진 회로를 구성한다. - 입력 값을 변경해가며 변화를 관찰한다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.07 | 수정일 2023.03.29
  • 파워포인트파일 삼성 전자(화상면접자료)
    (DEC) codes d = 7, 8  t = 3 : triple error correcting (TEC) codes Coding sphere t s t d ci cj * Decoding ... vector e = (e1, e2, … , en) = (r1, r2, … , rn) – (c1, c2, … , cn) * Decoding (2) * Decoding (3) c1 r ... c4 c3 c2 c6 c5 Decoding plane * Problems in coding theory * Results (1) “Improved linear programming
    자기소개서 | 13페이지 | 5,000원 | 등록일 2020.05.24 | 수정일 2020.11.24
  • 워드파일 홍익대_디지털논리회로실험_4주차 예비보고서_A+
    기본 실험 (2)의 결선은 다음과 같이 할 수 있다. 1.5 응용 실험 (1)이 8-to-1 멀티플렉서로 동작하는 원리를 자세히 설명하시오. 8-to-1 멀티플렉서란 8개의 입력 가운데 ... 핀이다. 1, 2, 13번의 결과가 12번으로 출력 3, 4, 5의 결과가 6번으로 출력 11, 10, 9의 결과가 8번 핀으로 출력된다. 1.3 4-to-1 Multiplexer ... Decoder 74139, 3-INPUT AND 게이트 7411의 datasheet를 확인하시오. 74153 칩에는 4-to-1 multiplexer가 2개 들어있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 워드파일 디지털 논리회로 실험 및 설계 4주차 예비보고서
    . 1.5 응용 실험 (1)이 8-to-1 멀티플렉서로 동작하는 원리를 자세히 설명하시오. , , 를 각 bit라고 생각했을 때, 가 0이면 3bit의 수가 3이하 이므로 위쪽 4: ... 그러므로 , , 를 통해 8개의 입력값 중 1개의 값을 선택하는 8-to-1 멀티플렉서를 구현 할 수 있다. 1.6 응용 실험 (2)가 4-to-1 멀티플렉서로 동작하는 원리를 자세히 ... 한다. 1.3 4-to-1 Multiplexer 74153의 EN에 대해 설명하시오.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 파워포인트파일 삐도리의 PPT 탬플릿 소셜 인포그래픽 키트 다크
    media attitude Decode the mysteries of social media algorithms and leverage them to enhance your brand's ... 4 5 6 SUN MON TUE WED THU FRI SAT December 2023 7 8 9 10 11 12 14 15 16 17 18 19 21 22 23 24 25 26 28 ... Tony S Influencer @accountname88 Mbaku Models @accountname88 Steven Content creator @accountname88 1 2 3
    ppt테마 | 25페이지 | 2,000원 | 등록일 2024.02.06
  • 파워포인트파일 삐도리의 PPT 탬플릿 소셜 인포그래픽 키트 라이트
    media attitude Decode the mysteries of social media algorithms and leverage them to enhance your brand's ... 4 5 6 SUN MON TUE WED THU FRI SAT December 2023 7 8 9 10 11 12 14 15 16 17 18 19 21 22 23 24 25 26 28 ... Tony S Influencer @accountname88 Mbaku Models @accountname88 Steven Content creator @accountname88 1 2 3
    ppt테마 | 13페이지 | 2,000원 | 등록일 2024.02.06
  • 워드파일 홍익대_디지털논리회로실험_3주차 예비보고서_A+
    BCD to 7-segment decoder 7447 칩의 3번 다리에 0을 입력해주면 모든 LED에 불이 들어올 것이다. 2.7 응용실험 (4) BCD to 7-segment decoder ... BCD to 7-segment decoder 7447은 총 16개의 핀이 있으며 머리 하단 기준으로 반시계 방향으로 증가한다. ... 1을 입력해준다. 1.4 BCD to 7-segment decoder 7447과 7-segment 5161의 datasheet 를 확인하시오.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
AI 챗봇
2024년 06월 17일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:28 오전
New

24시간 응대가능한
AI 챗봇이 런칭되었습니다. 닫기