• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,333)
  • 리포트(1,179)
  • 자기소개서(87)
  • 시험자료(32)
  • 방송통신대(27)
  • 논문(4)
  • 서식(2)
  • 표지/속지(1)
  • ppt테마(1)

"디지털시계 설계" 검색결과 1-20 / 1,333건

  • 파워포인트파일 디지털 알람 시계 회로도 설계 및 제작
    디지털 알람 시계 회로도 설계 및 제작 Contents Conclusion 작동 원리 주요 소요 부품 회로도 및 회로 설명 TIME TABLE 1. ... 최종 설계 목표 ( SPEC ) 시 , 분 , 초의 카운터 기능 알람 기능 AM( 오전 )/PM( 오후 ) 표시 기능 Reset ( 작동하고 있는 시계를 Reset 시키는 것 ) Time ... 회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC ) 업무분담 작품시현 보드구현 보드구현 회로도 및 회로 설명 TIME TABLE 최종 설계 목표 ( SPEC )
    리포트 | 16페이지 | 2,000원 | 등록일 2020.02.14
  • 한글파일 디지털 시계 설계 설계보고서(충북대 및 타 대학교)
    목적 1) 디지털 시계 설계 2) 학습한 내용을 토대로 디지털 응용회로를 설계, 구현하고 실험을 통해 동작 확인 3) 팀 단위의 프로젝트 진행으로 팀원간 역할분담, 팀워크 훈련 4) ... 기초회로실험 Ⅱ 디지털 시계 1. ... 작동원리 1) 디지털 시계의 구성 에 나타낸 디지털 시계의 전테 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간
    리포트 | 18페이지 | 2,500원 | 등록일 2020.11.19 | 수정일 2020.12.04
  • 파일확장자 [디지털공학개론] 디지털 시계의 회로도 설계와기본형 레지스터 및 IC의 종류
    발진 회로디지털 시계의 회로도 설계에 있어서 발진회로는 일정한 클록을 제공하기 위해서 요구된다. 이를 세팅하기 위해서는 다음의 세 방법이 있다. ... 분주 회로디지털 시계의 기본 단위로 약속된 시간 규격인 초 를 나타내기 위해서는 ‘ ’ 1Hz 주파수가 필요하다 분주회로는 정현파에서 구형파를 얻을 수 있도록 . 60Hz 1Hz 도와주는
    리포트 | 6페이지 | 2,000원 | 등록일 2020.04.05
  • 워드파일 term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    이번 다기능 디지털 시계 설계 목표는 단순한 시계 구현만이 아니라, 타이머와 스탑워치의 기능까지 구현하는 것이 중요했다. ... 번 및 이 름 학 과 전자공학과 ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계 0. ... 요약 (Abstract) 이번 학기 프로젝트의 주제는 ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계이다.
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 한글파일 실험4 예비 5_마이크로프로세서 실험 및 설계 실습8. 타이머를 이용한 디지털 시계
    마이크로프로세서 실험 및 설계 예비보고서 실습8. 타이머를 이용한 디지털 시계 #include //확장자가 .h로 끝나는 avr/io라는 헤더파일을 포함하라는 의미의 선언.
    리포트 | 5페이지 | 2,000원 | 등록일 2020.01.02 | 수정일 2020.11.12
  • 워드파일 전전설2 파이널 프로젝트 디지털시계 (전자전기컴퓨터설계2 실험 파이널 프로젝트)
    디지털 시계 코드 `timescale 1ns / 1ps ////////////////////////////////////////////////////////////////////// ... Company: // Engineer: // // Create Date: 14:08:09 11/22/2016 // Design Name: // Module Name: Clock_Digital ... // ////////////////////////////////////////////////////////////////////////////////// module Clock_Digital
    리포트 | 73페이지 | 5,000원 | 등록일 2019.11.09
  • 워드파일 [전자전기컴퓨터설계실험2] Verilog를 이용한 디지털 시계 (알람, 스탑워치, LED 기능 포함)
    //Digital_Clock.v module Digital_Clock(RESETN, CLK, LCD_E, LCD_RS, LCD_RW, LCD_DATA, PIEZO, BUS, BUT,
    리포트 | 81페이지 | 5,000원 | 등록일 2020.09.07
  • 한글파일 팀 프로젝트 디지털시계 설계 및 구현
    시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다. ... 디지털시계에는 7세그먼트를 여러개 사용하는데 각 세그먼트마다 저항을 일곱개씩 달아줘야해서 조금 번거로웠다. ... 기판 2개를 빈 공간 없이 사용했던 것과 달리 디지털 손목시계는 그 작은 공간에 기판의 내용이 모두 들었다고 생각하니 놀라웠다.
    리포트 | 11페이지 | 2,500원 | 등록일 2017.10.21 | 수정일 2017.12.27
  • 워드파일 디지털 알람시계 설계 보고서 - 디지털회로설계및언어
    디지털 알람시계 설계 보고서 1. 주제 : Digital Alarm Clock 2. 기능 1. 설계주제 - 알람기능을 하는 시계 구현. 2. ... 설계과정 1) Controller & Data path 2) Algorithm Mode1 Mode2 Mode3 Normal Operation Min, Hour, Date, Month ... = 0) begin Alarm_CNT = Alarm_CNT - 3'b001; set_PTR = 1; end else begin clear_PTR = 1; end (5) 일반 시계 작동
    리포트 | 15페이지 | 3,500원 | 등록일 2017.11.16
  • 한글파일 VHDL을 이용한 디지털 시계 설계
    introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... does 디지털 시계 ● 시, 분 및 초를 표시하는 디지털 시계 ■ 초 ● 입력 클럭을 분주하여 1초 단위로 상승 ● 59초에서 60초로 넘어 갈 때 1분 카운트를 발생, 초는 00으로 ... 이번 실습 시간을 통해 디지털 시계의 원리를 이해하였으며, 시계 작성에도 컴퓨터 코딩이 필요한 것을 알게 되었다. 마지막 실습 까지 도와주신 조교님들 수고하셨습니다.
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • 한글파일 전지전자기초실험 디지털 시계 설계 실습 설계프로젝트 레포트
    전기전자 기초실험 결과보고서 제12장 설계 프로젝트 I - 디지털 시계 설계 실습 - 학과 학년 학번 분반 실험조 성명 전기전자공학 2 # 기본 개념 아무 입력도 들어오지 않으면 일반 ... 초 조절 버튼은 초를 00으로 초기화한다. # verilog code 실제로 디지털 시계를 구현할 때에는 조금 더 많은 측면을 고려해야 한다. clk가 1,000,000번 진동할 때마다 ... 상세한 설명은 주석으로 처리하였다. module digital_clock(clk, reset, inAP, oAP, inH, inM, inS, oH1, oH2, oM1, oM2, oS1
    리포트 | 6페이지 | 1,500원 | 등록일 2017.12.01
  • 파워포인트파일 디지털 시계 설계
    디지털 시계 목차 1. 개요 2. Display Design 3. 6진 카운터 4. 10진 카운터 5. 12진 카운터 6. 12시간 표시 Decoder 7. ... , 시계의 특성상 0부터 11이 아닌 1부터 12까지 표시가 가능해야 한다. ... 따라서 10이상의 수는 두 자리로 표현하기 위해서 디코더를 설계해야 한다.
    리포트 | 36페이지 | 5,000원 | 등록일 2010.09.07
  • 파일확장자 디지털 시계 설계
    설계.pptx ..PAGE:1 디지털 시계 설계 2조 나이키 조장 : 염규원 조원 : 박재웅, 서기원, 나태흠, 황재민, 박남규, 한진수 디지털 공학 텀프로젝트 ..PAGE:2 목차 ... 실험 목적 디지털 시계의 구조 및 개요 카운터 설계 디코더 설계 실험 결과 실험 후기 및 소감 질문 및 응답 ..PAGE:3 1.실험 목적 디지털 공학 텀프로젝트 ..PAGE:4 ... 디지털 시계의 구조 및 개요 디지털 공학 텀프로젝트 ..PAGE:7 2.
    리포트 | 25페이지 | 2,000원 | 등록일 2010.09.05
  • 한글파일 디지털 시계 설계
    * 2차 프로젝트 디지털 시계 설계 * * 1. ... 설계 사양 및 기능 ◎ 입력 주파수 : 100 Hz ◎ 입 력 : 4비트 - CLK, SW1, SW2, SET ◎ 출 력 : 43비트 - 6개의 LED 출력을 위한 42비트와 추가
    리포트 | 22페이지 | 2,500원 | 등록일 2010.06.25
  • 파워포인트파일 디지털 시계 설계
    디지털 시계 기본원리 2. 10 진 상태표 및 논리식 3. 6 진 상태표 및 논리식 4. 3 진 상태표 및 논리식 5. 디지털 시계의 구성 6. 회로 설계도 7. 파형도 1. ... 디지털 시계 기본원리 J-K 플립플롭으로 구성된 동기식카운터를 이용하여 24시간의 시간을 나타내는 시계를 구성한다. ... 디지털 시계의 구성(3/4) 기본구성은 초의 자리 구성과 같다.
    리포트 | 15페이지 | 1,000원 | 등록일 2009.09.16
  • 파워포인트파일 디지털 시계 설계 발표자료
    디지털 시계 설계 목 차 1. 서론 및 설계목표 2. 설계계획 3. 설계과정 4. 작동시범 5. 결과 및 고찰 6. 참고문헌 * 1. ... 서론 및 설계 목표 Altera사의 Excalibur칩을 사용한 SoC설계및 검증 도구인 Huins사 SoC Master에 알맞은 시계를 verilog코드 작성에서부터 실제 구현까지 ... 설계 과정 2) 회로 arm_top.bdf * 3. 설계 과정 2) 회로 pld_slave.bdf * 3. 설계 과정 2) 회로 clock.bdf * 3.
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.29
  • 한글파일 디지털 시계 설계 보고서
    SoC 설계 (디지털 시계) 목차 1. 서론 2. 설계 목표 3. 시계 구조 4. 검증 5. 고찰 6. 참고문헌 7. 소스 코드 1. ... 이에 가장 많이 사용되는 RISC시스템인 ARM9 Core가 사용된 Altera社의 Excaliber를 이용하는 디지털 시계를 직접 구현해 보았다. 2. ... 설계목표 Verilog HDL를 이용한 시계코드의 작성부터 포팅을 통한 동작의 확인을 목표로 잡았다. 세부 기능으로는 기본적인 카운터 기능과 시,분의 변경 기능을 추가로 하였다.
    리포트 | 19페이지 | 2,000원 | 등록일 2012.05.29
  • 한글파일 VHDL을 이용한 디지털시계설계
    전체적인 설계 소개 2. 디지털시계설계 이론 2-1. 전체적인 디지털시계 설계 2-2. 동기식 Modulo - N 카운터 설계 2-3. ... 디지털시계설계 이론 1) 전체적인 디지털시계 설계 ◇ 오전/오후, 시, 분, 초, 시간설정기능을 나타내는 디지털시계 설계. ◇ 각 카운터마다 1이 증가하는 시점이 다르기 때문에 ... 전체적인 디지털시계설계 소개 - VHDL 구문을 이용하여 디지털시계설계하는 것이며 7-Segment의 동작원리에 대해서 이해하고, VHDL을 이용한 7-Segment 제어 방법을
    리포트 | 31페이지 | 1,000원 | 등록일 2011.12.30
  • 파워포인트파일 디지털 시계 설계
    디지털 논리회로 ) Click to add Title Click to add Title Click to add Title Click to add Title Click to add Title
    리포트 | 15페이지 | 2,000원 | 등록일 2008.12.20
  • 파워포인트파일 디지털시계 설계
    최종설계디지털시계 블록도 시계는 초,분,시로 구성되어 있다. ... 목차 디지털시계의 블록도 7-segment Display 74LS47 - BCD to 7segment Decoder/Driver 동기식 모듈-N 카운터 설계 555타이머 후기 및 ... 여기서 디지털 시계의 회로를 제대로 이해하기 위해서는 무엇보다 카운터 설계에 대한 개념이 잡혀 있어야 된다고 생각한다. 7-segment Display 0~ 9 까지의 숫자를 표시하기
    리포트 | 20페이지 | 2,000원 | 등록일 2008.08.20
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업