• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(910)
  • 리포트(839)
  • 방송통신대(32)
  • 시험자료(31)
  • 자기소개서(8)

"논리함수와 게이트" 검색결과 1-20 / 910건

  • 워드파일 [예비보고서] 7.논리함수와 게이트
    논리함수와 게이트 7-3. ... (답안) NAND 게이트의 최소 정격 전압은 직류전원장치의 Vcc를 단계적으로 5V (High)에서 0V (Low)까 지 변화시키며 논리함수의 입출력이 옳게 동작하는지 여부로 판단할 ... 오실로스코프의 두 채널에 입력과 출력을 연결하고, 입력 전원인 함수 발생기 펄스의 변화에 따 라 게이트 동작이 발생할 것이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
  • 한글파일 논리게이트 및 부울함수의 구현
    논리게이트 및 부울함수의 구현 1. ... 실험 목적 1) AND, OR, NOT, NAND, NOR, XOR, XNOR의 논리함수 개념과 게이트의 구조 및 기능을 습득한다. 2) 부울 대수를 사용한 논리회로의 표현방식 및 ... 모든 논리식을 나타낼 수 있어 범용게이트로 사용한다. 6) Exclusive OR(XOR) 게이트 두 개의 입력이 서로 같지 않을 때 출력이 1이 되는(배타적 논리합) 논리회로를 의미한다
    리포트 | 5페이지 | 1,000원 | 등록일 2019.05.20
  • 한글파일 실습 7. 논리함수와 게이트 예비보고서
    논리함수와 게이트 7-1. 실습목적 여러 종류의 게이트의 기능을 측정하여 실험적으로 이해한다. 7-2. ... 1개 게이트의 딜레이 시간을 더 정확하게 측정할 수 있을 것이다. 7-3-2 NAND 게이트 설계 및 특성 분석 (A) Vcc를 5V (논리값 1)에서 0V (논리값 0)로 단계적으로 ... 게이트에 입출력 시간 딜레이는 전파 지연시간인데 게이트에 입력 신호가 입력되고 논리연산 후 게이트의 출력으로 나올 때까지 걸리는 시간이다.
    리포트 | 5페이지 | 2,000원 | 등록일 2022.09.19
  • 파일확장자 A+ 결과보고서 논리함수와 게이트
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.27
  • 한글파일 아날로그및디지털회로설계실습 논리함수와게이트
    논리함수와 게이트 분 반 교 수 명 실험 날짜 제출 날짜 조 학 번 이 름 요약 : 여러 종류의 게이트의 기능을 측정하여 실험적으로 이해한다. 1. ... NAND 게이트 설계 및 특성 분석 (A) Vcc를 5 V (논리값 1)에서 0 V (논리값 0)로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계 방법을 ... 입력신호가 가해지고 게이트의 종류에 따른 논리연산 결과가 게이트의 출력으로 나올 때까지는 약간의 시간이 걸린다. 이 지연되는 시간을 전파지연시간이라고 한다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.12.15
  • 한글파일 4주차 예비 - 논리 게이트 및 부울 함수의 구현
    기초회로실험1 전자공학부 4주차 실험제목 : 논리 게이트 및 부울 함수의 구현 실험목적 : (1) AND, OR, NOT, NAND, NOR, XOR, XNOR의 논리함수 개념과 Gate의 ... 이러한 게이트들을 이용하여 부울 함수를 구현할 수 있다. ... 개가 모두 1일 때 출력이 1이 되는 논리 회로를 말한다. (3) AND 게이트 : AND 게이트는 두 입력이 모두 1일 때만 그 출력이 1이 되는 논리회로를 말한다. (4) NAND
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 한글파일 4주차 결과 - 논리 게이트 및 부울 함수의 구현
    이번 실험은 NOT, OR, AND 게이트, NAND, NOR, Exclusive-OR 게이트논리함수 개념과 Gate의 구조 및 기능에 대해 알아보고 측정하는 실험과 부울 함수를 ... 기초회로실험1 제출:2015.03.30 4주차 실험제목 : 논리 게이트 및 부울 함수의 구현 실험 (1) SN7408로 회로를 결선하고, 1)B=0, 2)B=1, 3)B=open 상태에 ... 정 논리로 구성한 논리합, 논리곱 회로는 부논리에서 사용하면 각각 논리곱, 논리합의 회로가 된다. (3) 애서 B=open 상태는 어떤 입력을 가한 것과 동일한가?
    리포트 | 8페이지 | 1,500원 | 등록일 2020.10.01
  • 한글파일 충북대 기초회로실험 논리게이트와 부울함수의 구현 예비
    논리게이트와 부울함수의 구현 (예비보고서) 실험 목적 (1) AND, OR, NOT, NAND, NOR, XOR, XNOR의 논리함수 개념과 Gate의 구조 및 기능을 습득한다. ( ... 이용한 논리회로의 구현 부울 함수는 기본 게이트들을 사용하여 구현 할 수 있다. ... 게이트 두 개의 입력이 서로 같지 않을 때만 출력이 1이 되는 논리회로를 말하며, 이 회로는 논리 연산회로, 2진수의 비교, 착오의 검출, 코드변환 등에 쓰인다. (6) 부울 함수
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 한글파일 충북대 기초회로실험 논리게이트와 부울함수의 구현 결과
    논리게이트와 부울함수의 구현 (결과보고서) 실험 결과 (1) SN 7408로 회로를 결선하고, 1) B = 0, 2) B = 1, 3) B = open 상태에 대하여 진리표를 작성하라 ... 같은 전압을 인가하더라도 어떤 논리게이트로 출력하느냐에 따라서 값이 다르게 출력되는 것을 확인할 수 있었다. ... 이번 실험을 통해 1학기 때 디지털 공학에서 배운 논리게이트들의 기능이 실제 회로 상에서도 적용된다는 것을 확인해볼 수 있었다.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.09.10
  • 파일확장자 A+ 아날로그및디지털회로설계 실습 예보_논리함수와 게이트
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.27
  • 워드파일 아날로그 및 디지털회로설계실습 7주차 논리함수와 게이트 과제
    논리함수와 게이트 NAND 게이트 소자만을 이용하여 XOR게이트의 등가회로를 구성하시오 XOR 게이트의 진리표는 다음과 같다. input Output 0 0 0 0 1 1 1 0 1 ... 그리고 이번 강의자료에 따르면 인버터는 로 표현할 수 있고 OR 게이트는 로 AND 게이트는로 표현가능하다. ... 이에 따라 XOR 게이트를 NAND게이트만으로 표현한다면 다음과 같다. 42 인코더를 설계하시오 인코더의 진리표는 다음과 같다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • 워드파일 아날로그 및 디지털 회로 설계 실습 결과보고서7 논리함수와 게이트
    아날로그 및 디지털 회로 설계 실습 -실습 7 논리함수와 게이트- 설계실습 내용 및 분석 설계한 논리게이트 구현 및 동작 Low(0) 값, High(1) 값, Vcc를 각각 0V, ... 하나를 선택하고 직류전원장치를 Vcc를 단계적으로 5V(논리값 1)에서 0V(논리값 0)로 변화시켜서 논리함수의 입출력이 맞게 동작하는 최소 Vcc전압을 구한다. 74LS00 칩의 ... NAND, NOT 게이트를 사용하여 3입력 NAND 게이트의 등가회로를 구성한다.
    리포트 | 24페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 파일확장자 아날로그 및 디지털 회로 설계 실습 결과7-논리함수와 게이트
    요약 : 예비보고서를 통해 설계하였던 논리함수 게이트를 직접 설계해보고 비교해보는 실험이었다. ... 이 실험을 통해 AND, OR, NOT 게이트를 통해 NAND, NOR, XOR, XNOR 게이트를 구성할 수 있으며 게이트를 통과하며 발생하는 딜레이 때문에 입력과 동일한 시간에 ... 본 파트에서는 양논리를 적용하여 논리레벨을 결정하기로 한다.
    리포트 | 6페이지 | 1,500원 | 등록일 2020.03.29
  • 워드파일 아날로그 및 디지털회로설계실습 7주차 논리함수와 게이트 예비 리포트
    논리함수와 게이트 요약: 이번 보고서를 통해 논리함수와 게이트에 대해 학습했다. ... 결론: 이번 보고서를 통해 논리함수와 게이트에 대해 학습했다. ... NAND 게이트 설계 및 특성 분석 Vcc를 5V (논리값 1)에서 0V (논리값 0)로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계 방법을 생각하고
    리포트 | 10페이지 | 1,000원 | 등록일 2021.09.02
  • 워드파일 아날로그및디지털회로설계실습 / 예비보고서 / 7. 논리함수와 게이트 /
    논리함수와 게이트) 7-3. ... 논리게이트의 입출력 시간 딜레이는 논리게이트의 수가 증가하면 따라서 증가하게 된다. ... 그 다음 함수발생기로 10 Hz의 주기적 구형파를 발생시켜서 AND 게이트와 OR게이트의 시간 딜레이를 측정한다. 7-3-2 NAND 게이트 설계 및 특성 분석 (A) Vcc를 5
    리포트 | 4페이지 | 1,500원 | 등록일 2020.11.27
  • 파일확장자 [예비보고서]중앙대학교 아날로그및디지털회로설계실습 논리함수와 게이트
    1개XOR gate 74HC86 : 1개사용장비오실로스코프 (Oscilloscope) : 1대브레드보드 (Bread board) : 1개파워서플라이 (Power supply) : 1대함수발생기 ... NAND 게이트첫 번째로 NAND 게이트를 먼저 설계하였으며 AND, NOT 게이트를 활용하여 NAND 게이트를 구성하였다.다음페이지에서 회로와 Simulation 결과를 확인할 수 ... 설계실습 계획서7-3-1 XNOR 게이트 설계 및 특성 분석(A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR
    리포트 | 8페이지 | 1,000원 | 등록일 2023.06.23
  • 한글파일 회로실험I 예비보고서 - 논리 게이트 및 부울 함수의 구현
    논리 게이트 및 부울 함수의 구현 목적 ?AND, OR, NOT, NAND, NOR, XOR, XNOR의 논리함수 개념과 Gate의 구조 및 기능을 습득한다. ? ... 두 개의 입력이 서로 같지 않을 때만 출력이 1 - 논리 연산회로, 2진수의 비교, 착오의 검출, 코드변환 등에 쓰임 부울 함수를 이용한 논리회로의 구현 - 부울 함수는 기본 게이트를 ... 논리회로 AND 게이트 - 두 입력이 모두 1일 때만 출력이 1 - 한 입력이 1이고, 다른 입력이 0이면 출력은 0 NAND 게이트 - AND 게이트 + NOT 게이트 = NAND
    리포트 | 4페이지 | 1,500원 | 등록일 2019.05.13 | 수정일 2020.05.06
  • 한글파일 회로실험I 결과보고서 - 논리 게이트 및 부울 함수의 구현
    Quad 2-input XOR Gate)실험(1) SN7408로 회로를 결선하고, 1) B=0, 2)B=1, 3)B=open 상태에 대하여 진리표를 작성하라.(2) 4입력 AND 게이트의 ... 다음과 같이 회로를 구성하고, B단자의 3가지 입력에 대하여 진리표를 구성하라.(6) SN7486 및 SN7404를 이용하여 회로를 구성하고, 각각에 대하여 진리표를 구성하라.고찰논리회로는
    리포트 | 6페이지 | 1,500원 | 등록일 2019.05.13 | 수정일 2020.05.06
  • 워드파일 논리 게이트 및 부울 함수 구현 회로실험 예비보고서(고찰 포함)A+
    부울 함수를 이용한 논리 회로의 구현 부울 함수는 기본 게이트들을 사용하여 구현 할 수 있다. ... 예비보고서 논리 게이트 및 부울 함수의 구현 목적 AND, OR, NOT, NAND, NOR, XOR, XNOR 의 논리 함수 개념과 Gate의 구조 및 기능을 습득한다. ... 함수. - 논리값, 논리연산자, 논리 변수로 구성됨. - 부울 함수에는 두 가지 representation, 하나는 진리표를 이용한 방법, 다른 하나는 부울 표현식을 이용. 4) 게이트
    리포트 | 5페이지 | 1,500원 | 등록일 2022.11.14 | 수정일 2024.04.19
  • 한글파일 아날로그 및 디지털회로설계실습 실습7(논리함수와 게이트)예비보고서
    논리함수와 게이트) 아날로그 및 디지털 회로 설계실습 설계실습 7. 논리함수와 게이트 7-1. 실습목적 : 여러 종류의 게이트의 기능을 측정하여 실험적으로 이해한다. 7-2. ... (A) Vcc를 5V (논리값 1)에서 0V (논리값 0)으로 단계적으로 변화시켜서 NAND 게이트가 동작하는 최소 정격 전압을 구하는 설계 방법을 생각하고, 그 단계적 방법을 구체적으로 ... A, B 에 인가하는 전압은 vstim을 이용하여 pulse 함수를 인가한다. v1 : high 5V, low 0V, Pulse Width 1us, Period 2us, delay
    리포트 | 12페이지 | 1,000원 | 등록일 2020.09.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업