• 캠퍼스북
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(7,907)
  • 리포트(6,891)
  • 자기소개서(407)
  • 시험자료(332)
  • 방송통신대(236)
  • 논문(28)
  • 서식(6)
  • ppt테마(4)
  • 이력서(2)
  • 노하우(1)

"논리설계실험" 검색결과 1-20 / 7,907건

  • 한글파일 논리회로실험 카운터 설계
    논리회로설계 실험 예비보고서 #7 실험 7. 카운터 설계 1. ... 고찰 이번 예비실험에서는 카운터에 대해 학습하였다. 카운터는 클록 펄스에 따라 수를 세는 계수 능력을 갖는 논리회로이다. ... 실험 내용 - 실험 1. 8비트 비동기식 업카운터와 8비트 동기식 다운카운터를 설계하시오. (1) 8비트 비동기식 업카운터와 8비트 동기식 다운카운터 1) 소스코드 8비트 비동기식
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 논리회로설계 실험 기본게이트 설계
    논리회로설계 실험 예비보고서 #1 실험 1. 기본게이트 설계 1. 실험 목표 CPLD와 FPGA에 대해 알아보고 그의 활용을 알아본다. ... 또한 전기전자 논리회로 교과목의 기초지식과 Xilinx tool을 사용하여 VHDL언어를 통해 기본적인 논리회로인 AND OR 게이트의 논리회로를 설계하고 진리표를 통하여 각 기본 ... 비록 수요일 분반과는 달리 제출 마감일이 실험 전날이 아닌 전전날이라 시간이 다소 부족해 보였지만 xilnx를 이용한 가장 기본적인 실험이었기에 그렇게 느끼지 못하였다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 논리회로설계실험 5주차 Encoder 설계
    이러한 과정에서 논리회로설계에서 중요한 Encoder에 대해 더욱 깊게 이해할 수 있었다. ... 1) Objective of the Experiment(실험 목적) 이번 실습은 4:2 Priority encoder를 behavioral modeling, dataflow modeling ... 이를 이용하여 behavioral, dataflow, gate-level modeling으로 직접 설계해 보았다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 4주차 MUX 설계
    1) Objective of the Experiment(실험 목적) 이번 실험의 목적은 4:1 MUX와 1:4 DEMUX를 강의 시간에 배운 2:1 MUX와 1:2 DEMUX의 modeling
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 3주차 Adder 설계
    다음으로 구현한 1-bit full adder를 이용하여 4-bit adder를 설계한다. ... 1) Objective of the Experiment(실험 목적) 이번 실습에선 우선 1-bit full adder를 W3 강의에서 다룬 half adder의 구현방법과 skeleton ... 이런 점들을 학습하는 과정에서 논리회로의 기본 개념인 1-bit adder와 4-bit adder에 대해 더욱 깊이 이해할 수 있었고, 이러한 부분에서 실습의 의의가 있다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 8주차 register 설계
    1) Objective of the Experiment(실험 목적) 이번 실습에서는 8-bit register와 8-bit shift register를 structural modeling으로 ... 또한 설계한 register들이 정상적으로 작동하는지 확인하기 위해 교수님께서 제공해주신 skeleton 코드를 채워 넣어 testbench 코드를 작성하였다. ... register (Structural modeling) 8-bit shift register도 마찬가지로 1-bit register를 이용하여 structural modeling으로 설계
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 논리회로실험 순차회로 설계
    논리회로설계 실험 예비보고서 #6 실험 6. 순차회로 설계 1. 실험 목표 순차회로의 기본 회로인 Latch와 Flip ? ... 고찰 이번 예비실험에서는 순차논리회로에 대해 학습하였다. 순차논리회로란 입력이 들어가서 출력이 되고 다시 그 출력이 입력이 되는 회로다. ... 또한 이번예비실험에서는 플립플롭과 래치에 대해 일부분의 회로밖에 설계하지 않았는데, 기회가 된다면 다른 순차회로도 설계해봐야겠다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 [논리회로설계실험]VHDL을 활용한 LCD설계
    LCD_state 예측/실험값 LCD_state 예측/실험값 LCD_state 예측/실험값 0 Function set 14 35 / (공백) 8 1/1 22 _/_(줄바꿈) 36 G ... Discussion 1)예측과 실제 실험 결과 대조 분석 다음은 출력값의 실험값과 예측값을 대조하였다. ... 조건문에서 86개의 state가 돌아가도록 설계 한후, 비트수를 한자리 줄여 43개의 state만을 이용하도록 설정한다. 2-4) process(lcd_state) – state별
    리포트 | 7페이지 | 2,000원 | 등록일 2021.06.26
  • 워드파일 [논리회로설계실험]VHDL을 활용한 Calculator 설계
    추가적으로, 각 state마다, 다음 state값도 지정하여 준다. 4)References및 확장방향 Calculator 설계를 통해, 연산하는 방법과, 연산 후 나오는 값을 LCD에
    리포트 | 17페이지 | 2,000원 | 등록일 2021.06.26
  • 워드파일 [논리회로설계실험]VHDL을 활용한 CLOCK설계
    스탑워치, 카운터, 날짜표시등 우리의 일상에서 흔히 볼 수 있는 것들이 어떻게 논리회로적으로 코딩되고, 알고리즘화 되는지 알 수 있었다. ... (우리 실험에서는 가장 왼쪽의 비트가 a를 나타내도록 하였다, 내부신호를 통해 설정한다.) ... 발생하고, 그 다음부터는 01:00:00으로 초기화 되어서 나타나는 것으로 보인다. 2)Algorithm 설명 및 이해 이번 실습에서는 자일링스의 사용법을 바탕으로 clock을 설계해보았다
    리포트 | 12페이지 | 2,000원 | 등록일 2021.06.26
  • 워드파일 논리회로설계실험 6주차 D Latch 설계
    1) Objective of the Experiment(실험 목적) 이번 실습의 목표는 D Latch를 Behavioral modeling, Dataflow modeling, Gate-level ... 결과적으로 설계한 D Latch의 4가지 다른 모델링의 파형이 일치하는 것을 확인하였으며, 정상적으로 작동함을 알 수 있었다. ... 또한 설계한 4가지 방법이 정상적으로 작동하는지 testbench코드도 직접 작성하고 simulation을 하는 과정을 가졌다.
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 2주차 XNOR gate 설계
    간단한 XNOR gate 하나를 설계하는 실습이었지만 프로그램 사용법을 익히고 논리회로설계실험에 이용될 기본 gate를 직접 구현해보았다는 점에서 의의가 있다. ... 1) Objective of the Experiment(실험 목적) 이번 실험의 목적은 Truth table과 Boolean expression으로 나타내고 Verilog 코드를 구현하는 ... 과제 조건에서 언급하였듯, AND, OR, NOR gate만을 사용하여 설계할 수 있다.
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 7주차 Flip flop 설계
    1) Objective of the Experiment(실험 목적) 이번 실험에서는 두 가지 모델링 방식인 Behavioral modeling과 Structural modeling ... 마지막으로는 testbench 코드를 작성하여 Modelsim의 simulation 기능을 이용해 설계한 flip flop이 정상 작동함을 확인하였다. ... Gate-level modeling은 Sequential Circuit의 특징인 Clock edge에서 동작하는 부분을 설계하는 것이 어려움을 알게 되었다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 9주차 counter설계
    1) Objective of the Experiment(실험 목적) 이번 실습에선 강의에서 배운 내용을 바탕으로 Structural modeling으로 Ripple counter와 ... 이런 작동이 반복되어 D flip flop을 이용한 ripple counter 설계가 가능한 것이다. 2.2) Ripple counter (JK 서 알 수 있듯, 가장 왼쪽의 JK ... 마지막으로, 구현한 counter들이 정상적으로 작동하는지 확인하기 위해 Modelsim의 simulation을 이용하여 출력 wave를 확인하였는데, 그 결과 설계한 cou다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 논리회로설계실험 BCD가산기 레포트
    논리회로설계 실험 설계과제 보고서 주제 : #1 BCD 가산기 설계 1. 설계 배경 및 목표 1) 설계 배경 컴퓨터는 2진법을 이용하여 계산을 한다. ... 입력 신호인 BCD와 출력 신호인 7 segment에 대해서 진리표로 나타낸 후, 카르노맵을 사용하여 가장 간단한 논리식을 찾는다면 BCD를 7 segment로 바꿔주는 디코더 논리회로를 ... 설계할 수 있다.카르노맵을 만들 때, BCD 입력에서 1010 _{(2)} 이상의 수는 사용하지 않으므로 모두 don't care term으로 간주한다.
    리포트 | 14페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 논리회로설계 실험 디코더 인코더
    논리회로설계 실험 예비보고서 #4 실험 4. 디코더 & 인코더 1. ... 5) 논리회로설계실험 국태용교수님 아이캠퍼스 강의자료 http://terms.naver.com/entry.nhn? ... 논리회로들을 그릴 수 있으나 본 실험에는 세 개의 논리회로만 그려보았다. 2) 소스 코드 동작적 모델링 자료 흐름 모델링 구조적 모델링 구조적 모델링 內 AND, XOR게이트 AND
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 한글파일 논리회로설계실험 라인트레이서 레포트
    논리회로설계 실험 설계프로젝트 보고서 주제 : 라인트레이서 설계 1. ... 그러나 이번 실험에서는 RoV-Lab3000이 잘 동작하지 않아 테스트 벤치를 이용하여 스텝모터 작동원리와 적외선 센서의 작동 원리에 대하여 이해하고 line racer를 설계해본다 ... 실험2. 1-2상 여자방식 모터 구동을 위한 상태입력에 따른 출력 1) 1-2상 여자방식이란?
    리포트 | 15페이지 | 7,000원 | 등록일 2021.10.09
  • 한글파일 논리회로실험 병렬 가산기 설계
    논리회로설계 실험 예비보고서 #3 실험 3. 병렬 가산기 설계 1. ... 실험 목표 1의 보수와 2의 보수에 대해 학습하고 병렬가산기, 병렬 가감산기를 논리기호를 사용하지 않고 설계하고 작동방법에 대해 알아보아 둘의 차이점에 대해 알아본다. ... 고찰 이번 예비실험에서는 전 실험에서 설계한 전가산기를 바탕으로 병렬 가산기를 설계하였다.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 워드파일 [논리회로 실험] 디멀티플렉서 verilog 설계
    제목 디멀티플렉서 설계 실습 목적 디멀티플렉서는 하나의 입력을 여러 개의 출력 중 하나로 전송한다. 4x1 디멀티플렉서는 하나의 입력을 4개의 출력 중 하나로 전송하며, 선택된 출력에 ... 실습 내용 실습결과 논리식 과 Schematic 설계 디멀티플렉서 진리표 제어변수 출력 S1 S0 Y0 Y1 Y2 Y3 0 0 I 0 0 0 0 1 0 I 0 0 1 0 0 0 I ... 0 1 1 0 0 0 I Y0=S1’S0’ Y1=S1’S0 Y2=S1S0’ Y3=S1S0 Schematic 회로도 Verilog, VHLD설계 1. if~else 또는 case 형식을
    리포트 | 2페이지 | 2,000원 | 등록일 2020.12.24
  • 파일확장자 한양대 논리설계실험 Breadboard 및 기본 논리게이트
    실험 목적칩 회로도를 구성하고 있는 논리 회로를 배우며 AND, OR, NAND 게이트의 input, output 데이터를 숙지한다. ... input 데이터가 반대 일 경우 output 데이터를 추측할 수 있다.Breadboard를 이용해 회로를 구성해 input 값을 다르게 주어 Truth Table 출력값을 확인해보는 실험
    리포트 | 5페이지 | 2,000원 | 등록일 2023.03.21 | 수정일 2023.03.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업