• 통합검색(455)
  • 리포트(421)
  • 시험자료(22)
  • 자기소개서(7)
  • 논문(2)
  • 서식(2)
  • 방송통신대(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"FA회로" 검색결과 141-160 / 455건

  • 4자리 가감산기 / 8421가산기 최종보고서
    를 가산과 함께 감산할 수 있는 회로를 설계하고 8421 가산기를 설계하라.3. 목적① 진, 보, 영, 일기의 동작을 이해한다.② 진, 보, 영, 일기를 이용하여 4자리 2진수 ... 의 가감산회로를 설계하는 방법을 이해한다.③ BCD 가산기의 가산원리를 이해하고 논리게이트를 써서 설계한다.최종보고서 < 4자리 가감산기 / BCD 가산기 설계 > 2 조Ⅱ. 합성1 ... . 설계순서① 74H87과 74LS83을 써서 진, 보, 영, 일기의 논리회로 설계② 4자리 가감산기 설계③ BCD 가산기 설계2. 성능2-1. 진-보-0-1 기하나의 논리회로
    리포트 | 19페이지 | 2,000원 | 등록일 2010.09.19 | 수정일 2020.12.14
  • 전자회로실험 - 2. BJT DC 특성
    있다.PSPICE 결과beta _{F} -log(I _{C} )` 그래프 I _{C} -V _{CE} 특성 곡선첫 번째 그림은 회로를 구성한 모습이고 그 아래 그래프는 V ... 가 나왔다. 이 값은 CA3046의 스파이스 모델 변수 값에서 준 IS = 10fA와 약간의 오차가 있긴 했지만 거의 정확하게 나온 값이다.3) BJT의 Early 현상을 포함 ... 를 바꾼 회로도 >< log(I _{E} )`,log(I _{B} )`vs`V _{BC} 그래프 >< beta_R - log(I _{E} ) >< I _{E`} -V _{EC} 그래프
    리포트 | 14페이지 | 무료 | 등록일 2015.04.19
  • 4자리 가감산기 시뮬레이션
    에 입력됨과 동시에값이 1이 되므로, 결과적으로 A와 B의 2의 보수가 FA에 입력되어 2의 보수에 의한 전감산기가 된다.전가감산기 회로도5-3. BCD 가산기BCD 가산기 구성2 ... 과 함께 감산할 수 있는 회로를 설계하고 8421 가산기를 설계하라.3. 목적① 진, 보, 영, 일기의 동작을 이해한다.② 진, 보, 영, 일기를 이용하여 4자리 2진수의 가감산회로 ... 를 설계하는 방법을 이해한다.③ BCD 가산기의 가산원리를 이해하고 논리게이트를 써서 설계한다.4. 설계순서① 74H87과 74LS83을 써서 진, 보, 영, 일기의 논리회로 설계
    리포트 | 14페이지 | 2,000원 | 등록일 2010.05.29 | 수정일 2020.12.14
  • 가산기(Adder)
    TableInputsOutputsXYSC*************101※ Full Adder(전가산기, FA)전가산기는 세 입력 비트의 산술적 합을 구하는 조합 회로로서, 3개의 입력을 받아 2개의 출력을 한다. 즉 ... 멀티미터로 측정한 결과를 토대로 각 실험 회로의 진리표를 분석해 보면 모두 같은 결과를 얻어 정확한 FA회로를 구성하여 실험 했다고 할 수 있다.FA는 HA 2개를 연결한 구조 ... .Discussion이 실험은 2Digit Adder-Subtractor를 구현하는 실험이다. 실험3 회로의 각각의 FA 앞에 XOR 게이트를 추가한 회로로써, input, Cast
    리포트 | 32페이지 | 3,000원 | 등록일 2010.10.16
  • 마그네틱 베어링 개요 , 원리, 전망
    때문에 IC제조 장치내의 클린운송차나 자기베어링에 의한 고속회전축의 실현, FA운송시스템 등에 이용되고 있다.더구나 부가적으로 몇 개의 이점을 들 수 있다. 기계적인 마찰, 마모 ... 성은 통상의 베어링보다 훨씬 크다.또 응용에 따라서는 방출자장(전자장해)의 영향도 무시할 수 없다. 흡인제어형의 경우 자기회로는 닫혀 있으므로 이 영향은 적다. 이 이외의 자기 부상 ... 회로를 구성하고 회로의 공진 주파수보다 조금 높은 주파수의 전압을 인가함으로서 별도의 귀환제어 없이 안정된 부상을 할 수 있는 수동형 (passive) 마그네틱 베어링이다. 이
    리포트 | 8페이지 | 2,000원 | 등록일 2014.05.28
  • 1-bit Full Adder and 8-bit carry select Adder Design
    세 개의 Input을 이뤘다. 이렇게 네 번째 FA까지 이러한 회로가 연결되다가 맨 마지막으로 나오는 Carry값 C4가 얻어지는 것이다. 그리고 각 FA마다 얻어지 ... ry select adder를 만드는 실험이었다. 우선 대략적인 회로의 설계 모습을 본다면 다음과 같다.위의 실험에서 4개의 FA가 1개의 4 bit binary ripple ... 다. 그림에서 보면 알 수 있듯이, 맨 처음 FA에서는 A0, B0, C0이 Input이다. 그리고 이에 따른 Output으로는 S0과 C1이 나오는데, 이때에 C1은 A1, B1과 함께
    리포트 | 7페이지 | 3,000원 | 등록일 2010.01.29 | 수정일 2023.06.21
  • CDMA RF HW
    Power Amplifier) 4-7. Multi FA HPA 구성 4-8. 안테나 구성 4-8-1. 이동 통신용 안테나 4-8-2. 기지국 안테나의 구성4-9. 이동국 수신 IMD ... 가 여러 개의 주 파수 성분으로 구성되어 나타남. CDMA는 넓은 주파수 대역으로 확산되었을 뿐만 아니라, 통화 용 량 증대를 위해 여러 개의 주파수로 구성, multi FA 구조 ... 이 우수. - 선형성 및 전력 효율(RF 출력/공급 전력) 결정.4-6. HPA (High Power Amplifier)Predistortion 회로에 의한 HPA 비선형성 보상 방법
    리포트 | 50페이지 | 2,500원 | 등록일 2010.06.04
  • 4비트 전가산기 감산기 설계
    Waveform 이용2 장 . 관련 기술 및 이론 전가산기 (FULL ADDER) - 1 비트의 2 진수를 3 개 더하는 논리회로 - 3 개의 입력과 출력으로 구성 ( 입력 : x, y, c ... ci ) FA x ci s co y x y ci s co 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 ... ector (3 downto 1); 3) famain 의 아키텍처 내부에서 fa_tt 를 컴포넌트로 선언한다 . 입출력 포트 신호는 전가산기에 대하여 선언된 것과 일치
    리포트 | 22페이지 | 2,000원 | 등록일 2010.09.09
  • 인간공학의 응용
    (巧緻動作 作業) Microelectronics : 전자회로를 소형화 하기위한 연구•학문생산부문 사무부문(간접부문) OA (Office Automation) VDT, FAX(통신기기 ... ) OA, FA를 구축하기 위한 Software 설계•생산 두뇌 노동으로 변화산업용 Robot, NC 공작기계, 무인운반차 등 이용 Computer에 의한 제어로 시스템화한 FA ... (Factory Automation)가 급속으로 발전작업자의 가공, 조립작업이 감소2. FA작업의 건강문제(1) 산업용 Robot(2) 산업용 Robot에 의한 건강문제 해결 고온
    리포트 | 15페이지 | 3,000원 | 등록일 2010.09.21
  • VHDL을 이용한 MU0 구현 (논문양식)
    여 One hot Encoding을 이용하며 그것을 이용하여 구현한 회로의 그림은 아래와 같다.(1)one-hot encoding 을 이용한 State machine 구현one ... , MEMrqic);end fa_16bit;architecture sample of fa_16bit iscomponent fa isport(A,B,Cin : in std_logic;Sum ... , Cout : out std_logic);end component;signal C : std_logic_vector(14 downto 0);beginFA1 : fa port map
    리포트 | 10페이지 | 3,500원 | 등록일 2010.12.27
  • 논리회로 기말
    00D방전가공01DE00E시험사출01CF00F수치수정01FG00G사출01AG01함축표법함축표BB=CCA=CB=DA=CC=DDA=DB=EA=DC=EC=DD=EEA=CB=FA=CC ... { Q_B}XZQ _{C}XQ _{A}Q _{B}0001111000oooo01ooxx11oooo10o1ooZ = Q_Abar { Q_B}bar { Q_C}X회로회로도검증초기상태
    리포트 | 16페이지 | 1,000원 | 등록일 2014.10.01
  • 3.반가산기 및 전가산기
    진수 입력 A, B 외에 앞단에서 들어온 1개의 캐리를 동시에 덧셈할 수 있는 회로가 전가산기(FA: Full Adder)이다.2개의 입력(앞단의 캐리)의 덧셈에 대한 출력인 합(S ... 년도?학기2011년 1학기과목명디지탈논리회로실험LAB번호실험 제목3반가산기 및 전가산기실험 일자제출자 이름제출자 학번팀원 이름팀원 학번Chapter 1. 관련 이론 ... 으므로 합(S)과 캐리()의 위의 식은 다음 식과 같다.따라서 위의 식에 의해 논리회로를 그리면 그림 (a)와 같으며 기호로는 (b)를 사용한다.또한 전가산기는 반가산기(HA) 2개
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.08
  • [전기전자기초실험]7장 - 기본 논리 게이트 [예비&결과]
    1. 게이트의 종류와 구현(1) AND 게이트- 직렬회로- 스위치 두 개가 모두 닫혔을 때(논리“1”)만 출력신호(Y) 가 ON이 된다.(2) OR 게이트- 병렬회로- 두 쪽 ... 의 입력 중 어느 한쪽이나 양쪽이 모두 ON일 때 출력은 ON이 된다.(3) NOT 게이트 (=인버터)- 입력이 0 이면 출력은 1, 입력이 1 이면 출력은 0이 되는 회로.(4 ... ) NAND 게이트- AND 회로에 NOT 회로를 접속한 AND-NOT 회로(5) NOR 게이트- OR 회로에 NOT 회로를 접속한 OR-NOT 회로2. XOR 게이트의 구현3. 부울
    리포트 | 8페이지 | 1,000원 | 등록일 2010.12.08
  • 4-bit 전가산기(Full Adder)설계와 2의 보수를 이용한 감산기 설계
    를 확인한다.2. 관련 기술 및 이론(1) 4 bit 전가산기(Full-Adder)2진 병렬 가산기는 복수개의 비트들로 구성된 2진수 2개를 더해 결과를 출력하는 조합회로로, 그림 ... - architecture의 시작 FA0 : FullAdder port map (A(0), B(0), Ci, c(1), S(0)); -- 단자의 위치결합, 캐리는 Ci FA1 : FullAdder ... port map (A(1), B(1), c(1), c(2), S(1)); -- 입력캐리c(1), 출력캐리 c(2) FA2 : FullAdder port map (A(2), B(2), c
    리포트 | 14페이지 | 1,500원 | 등록일 2010.06.24
  • 가산기_및_감산기_예보&결보
    data bits and one carry bit at each bit position. Hence, a full-adder(FA) is a multiple-output c ... 감산기에 대한 실험이다. 회로도는 XOR 게이트 및 AND 그리고 NOT 게이트만 사용하였기 때문에 실험 회로 꾸미는 것에는 아무런 문제 없었지만 결과 데이타가 제대로 나오지 않 ... 아 무척 어려웠다. 또한 새로운 실험기판으로 실험을 하였지만 역시 결과 데이타가 제대로 나오지 않았다. ※ 회로에 대한 이론 (위에서 이론값을 써 놓았기 때문에 다시 정리
    리포트 | 5페이지 | 2,000원 | 등록일 2010.10.04
  • 컴퓨터 시스템 [컴퓨터의 역사,컴퓨터의 구조(구성요소),컴퓨터의 분류
    발전5세대초고밀도 직접 회로(VLSI)객체 지향 언어인공지능 개념 등장OA, FA, HA, 전문가 시스템FUZZY 이론패턴 인식 등장3. 컴퓨터의 구성연산기능을 수행하기 위해 ... ) → UNIVAC-I(최초의 상업용 컴퓨터) → EDVAC(프로그램 내장 방식) → 1964년 IBM-360(범용 컴퓨터) → 1966년 직접회로(IC)를 채용한 컴퓨터구분논리 ... 회로소자사용언어설명1세대진공관(vacuum tube)기계어, 어셈블리어하드웨어 개발 중심부피에 비해 신뢰도가 떨어짐2세대트랜지스터(TR)포트란(FORTRAN),코볼(COBOL
    리포트 | 7페이지 | 1,000원 | 등록일 2013.03.15
  • Full Adder VHDL Design - 전가산기 구현
    FA( Full Adder : 전가산기 )반가산기는 2진수의 한 자릿수만 계산할 수 있다.n bit의 2진수 덧셈을 위해서는 아랫자리에서 올라온 자리올림을 함께 계산하여야 하 ... 는데, 즉 두 개의 2진수 A와 B에 자리올림까지 함께 더하는 회로가 전가산기이다.★ 진리표★ K - Mapa. 합(S) : Sumb. 자리올림(C) : Carry★ 논리식★ 논리 ... 회로★ VHDL Source-----------------------------------------------------------------------------------
    리포트 | 7페이지 | 2,000원 | 등록일 2009.10.24 | 수정일 2020.09.10
  • 크렙스 사이클
    나 tricarboxylic acid , TCA cycle 이라고도 한다.발견자인 영국의 한스 크렙스(Hans Krebs)의 이름을 붙여 크렙스 회로라고 한다.1937년 처음 ... 아세트산이라고도 불리는, 아세틸 CoA(Acetyl Coenzyme A)가 된다. TCA 회로의 전체적 과정은 아래와 같다.(1) 분자에 물 한 분자를 추가하여 옥살아세트산 ... , 숙신산(Succinic Acid)이 된다(앞에서 ADP+Pi->ATP+H2O).(8) 숙신산은 FAD(Fe2+ FA+)에 두 새의 수소 이온과 두 개의 전자를 넘겨 주어 FADH2
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.19
  • 전자계산기
    에 널리 쓰 이고 있다.? 이러한 대규모직접회로 기술의 진보로 OA(Office Automation : 사무 자 동화), FA(Factory Automation: 공장 자동화), HA ... 1. 컴퓨터의 세대별 특징을 표를 이용하여 설명 하라.세대분류논리회로 및 하드웨어컴퓨터회로회로구성연산속도기억용량주기억장치보조기억장치입력장치출력장치1진공관시대? 진공 관?수은 지연 ... 회로1ms수천자자기코어? 종이테잎? 자기드럼? 천공카드? 종이테잎? 천공카드?프린터1946~1957)? 최초의 전자식 컴퓨터 애니악(ENIAC) 에드박(EDVAC)의 개발: 외부
    리포트 | 7페이지 | 1,500원 | 등록일 2012.02.05
  • 컴퓨터의 발전과정
    지만 계산 능력 및 신뢰도는 떨어진다. 주로 통계용이나 미사일 탄도 계산에 사용되었다. 컴퓨터의 기억장치를 이루는 회로소자로서 진공관을 사용해서 만들었던 시대 진공관을 사용 ... 시간 처리 시스템이 실용화되었다. 회로소자가 진공관에서 트랜지스터로 바뀐 시대, 즉 1948년에 발명된 트랜지스터가 1958년경부터 컴퓨터에 응용되기 시작했으며, 기억장치를 이루 ... 는 회로소자가 트랜지스터와 다이오드 등 반도체 소자로 만들어졌다. 그러므로 크기가 작아지고, 소비전력이 적어지고, 냉각기의 필요성이 감소되었으며, 고장이 적어 신뢰성이 높아졌다. 주
    리포트 | 2페이지 | 2,000원 | 등록일 2010.12.05
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 10일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:53 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감