• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(33,126)
  • 리포트(28,884)
  • 자기소개서(1,528)
  • 시험자료(1,326)
  • 방송통신대(1,257)
  • 논문(73)
  • 서식(40)
  • 노하우(8)
  • ppt테마(7)
  • 이력서(3)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리실험" 검색결과 861-880 / 33,126건

  • [논리회로실험] decoder와 encoder (결과)
    1. 실험목표1) 카운터를 이용해 디코딩과 인코딩의 코드 변환 동작에 관해 실험하고 그 동작 원리를 이해한다.2. 실험 장비 및 부품1) 5V 전원2) 오실로스코프3) 저주파 ... egment LED 1개3. 실험방법 및 결과1) 2단 2진 카운터그림 2. 2단 2진 카운터 decoding gate그림 2의 회로를 구성하여 그림 3에 다음의 파형을 그리 ... gate의 6번 핀 출력파형그림 3. 2단 2진 카운터 실험의 waveform2) 3진 카운터이 실험에서는 카운터 각각의 카운트 상태를 디코딩할 것이다. 그림 4의 회로를 연결
    리포트 | 7페이지 | 1,500원 | 등록일 2009.03.20
  • 논리설계실험 chap 01 논리게이트설계
    - Simulator 작동시키기이론으로만 알고 있는 논리게이트 ( AND, OR, XOR )를 직접 설계해본다.- Entity 지정, 변수( 입력, 출력 )- Architecture 설계 ( AND
    리포트 | 5페이지 | 1,000원 | 등록일 2008.10.26
  • 전자회로실험 16장 bjt논리 반전기 예비
    1. 목적1) 논리 반전기의 회로기능과 중요한 특성들을 이해한다.2) BJT를 이용한 논리 반전기 회로를 실험을 통해 이해한다.2.예비지식2.1 이상적인 디지털 논리 반전기논리 ... 반전기는 입력 신호의 논리 값을 반전시킨다. 따라서 논리 0입력에 대한 출력은 1일 것이고, 논리 1입력에 대한 출력은 논리 0일 것이다.우리는 반전기의 입-출력 전압전달 특성 ... 는 전류가 흐르지 않을 것이므로 v0 = Vcc일 것이다. 한편 vi가 high level일 때는 스위치가 폐쇄될 것이고 v0=0 일 것이다.2.2 BJT 논리 반전기실
    리포트 | 8페이지 | 1,500원 | 등록일 2012.11.04
  • [A+ 결과보고서] 아주대 논리회로실험 실험10 'D/A & A/D converter'
    실험 10. 결과보고서1.실험목적.-DAC와 ADC 회로의 구성과 동작 원리에 대해 이해한다.-주파수 발생기를 이용하여 DAC를 실험하고 다양한 조건에서의 파형을 관찰한다.-주파 ... 수 발생기를 이용하여 ADC를 실험하고 다양한 조건에서의 파형을 관찰한다.2.실험결과실험1. DAC계단파형 확인(1KHz) Rf=4.5kΩ계단파형 확인(1KHz) Rf=2.7k10 ... .5kΩ // 68kΩRf=4.5kΩ 7404 핀 2와7405 핀 1 사이7404 핀 4와7405 핀 3 사이7404 핀 6와7405 핀 5 사이-계단파형확인 실험에서 Rf의 값
    리포트 | 3페이지 | 1,000원 | 등록일 2015.03.27
  • 논리회로실험) 부울대수의 간소화(2) 결과보고서
    결 과 보 고 서5주차부울대수의 간소화(2) : Verilog HDL code1. 실험 과정* 본 실험은 f = ab' + a'b'c, s = b'( a + c ) 라는 두 개 ... 00010011010101111001101111011110* 다음 결과 값 f 는 Input ( a:1 , b:1 , c:1 ) 일때만 출력 값( f ) 이 0 이 나왔다.* 실험 분석본 실험의 목적은 f ... 과 Output을 ‘소문자로 입력’ 하며 , AND Gate , OR Gate , NOT Gate 의 표현법이 각각 ( &&, ||, ! ) 로 표현 되었다 .본 실험에서의 f
    리포트 | 10페이지 | 2,000원 | 등록일 2014.01.06
  • 논리회로실험) 부울대수의 간소화(1) 결과보고서
    결 과 보 고 서4주차실험 3 : 부울대수의 간소화(1)- Schematic 이용1. 실험 과정* 본 실험은 ① F = AB' + A'B'C, ② S = B'( A + C ... ②과정 5 : S = B' (A + C) 결과 값 확인InputOutputABCXYS000100001111010000011010100111101111110010111010* 실험 ... 로 결과 값을 비교하여 두 개의 결과 값이 같음을 증명 하는 실험이다 . 이 실험의 목적은 부울 대수를 간소화 하였을 때 간소화 전과 간소화 후 의 결과 값이 정말 같은 지 확인
    리포트 | 9페이지 | 2,000원 | 등록일 2014.01.06
  • 디지털로직실험 13장 디멀티플렉서를 이용한 조합논리 회로
    실험13디멀티플렉서를 이용한 조합 논리실험 목표□ 디멀티플렉서를 이용한 다중 출력 조합 논리 회로의 설계.□ 오실로스코프를 아용하여 카운터-디코더 회로의 타이밍 다이어그램 ... 이 켜진다.1. 실험 보고서의 그림 13-6에 미완성의 회로도가 있다. 74LS139A는 상태 디코더이고반전 입력 NOR 게이트로 그려진 AND 게이트는 출력 논리를 만든다. 진리표 ... , 녹색 2개저항: 330 Ω 6개, 1.0㏀ 2개● 실험 순서교통 신호 디코더이번 실험에서의 회로는 ‘이론 요약’에서 설명한 바와 같이 교통 신호 제어 시스템의 네가지 상태(s
    리포트 | 7페이지 | 6,000원 | 등록일 2013.06.22 | 수정일 2025.09.06
  • [A+ 예비보고서] 아주대 논리회로실험 실험10 'D/A & A/D converter'
    실험 10. 예비보고서1.실험목적.-DAC와 ADC 회로의 구성과 동작 원리에 대해 이해한다.-주파수 발생기를 이용하여 DAC를 실험하고 다양한 조건에서의 파형을 관찰한다.-주파 ... 수 발생기를 이용하여 ADC를 실험하고 다양한 조건에서의 파형을 관찰한다.2.실험이론●D/A converter-D/A converter는 디지털 코드로 표현된 값을 이에 비례 ... typeramp typecounter typesuccessive approximation A/D Converter(2) Analog to Digital Converter실험11) 회로
    리포트 | 5페이지 | 1,000원 | 등록일 2015.03.27
  • [논리회로실험] Basic Gates (예비)
    한다.(7) 불린 연산자의 표기방법{구 분실험에서의 표기다른 표기법의 미AND& ,연언CONJUNTIONOR+선언DISJUNTIONNOT ̄∼ , - , ¬부정NEGATION논리연산자 ... 1. 실험목표1) 기본적인 logic gates(AND, OR, NOT, NAND, NOR, XOR)에 대해 알아본다.2) logic회로에서의 Boolean equation ... 과 De Morgan의 이론에 대해 알아본다.2. 실험 장비 및 부품1) 5V 전압원2) IC : 74HC00, 74HC02, 74HC04(2개), 74HC05, 74HC08, 74HC
    리포트 | 15페이지 | 1,500원 | 등록일 2009.03.20
  • [논리회로실험] decoder와 encoder (예비)
    egment LED 1개3. 실험관련 이론1) ENCODER(부호기)① 외부에서 들어오는 임의의 신호를 부호화된 신호로 변환하여 컴퓨터 내부로 들여보내는 조합논리회로② 디코더 ... 1. 실험목표1) 카운터를 이용해 디코딩과 인코딩의 코드 변환 동작에 관해 실험하고 그 동작 원리를 이해한다.2. 실험 장비 및 부품1) 5V 전원2) 오실로스코프3) 저주파 ... 와 반대 작용을 하는 조합논리 회로③ 문자, 숫자, 기호 등을 2 진 부호로 변환시킬 때 사용④ 2n 개의 입력과 n개의 출력으로 구성(2n X n 인코더)⑤ 4 X 2 인코더
    리포트 | 13페이지 | 1,500원 | 등록일 2009.03.20
  • 논리회로실험) 부울대수의 간소화(2) 예비보고서
    예 비 보 고 서5주차실험 4 : 부울대수의 간소화(2)-Verilog HDL code 이용1. 실험 목적- 부울대수를 Verilog HDL의 형태로 표현하는 방법을 이해한다. ... - Verilog HDL code로 Quartus II를 이용하여 합성하고 Programming 하는 방법을 이해 한다.2. 기본 실험 이론1) Verilog HDL 이란 ?? ... 할 경우 ( , ) 붙인다.ex) input A, B, C, D ;* 본 실험에서는 부울대수를 Verilog HDL 형태로 gate 설계를 표현해본다.1. Not gate 표현NOT
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • 디지털전자실험 - 논리게이트
    디지털회로 실험 결과 보고서입력ANDAB전압논리000.15 V0010.15 V0100.15 V0114.4 V1실험 8-1 기본 논리 게이트(AND)연결방법 및 주의사항① 브레드 ... .4 V1114.4 V1실험 8-2 기본 논리 게이트(OR)연결방법 및 주의사항① 브레드 보드에 다음 회로를 연결한다.( 7 - GND, 14 - VCC )② 연결시 IC는 74 ... 이다실험 8-3 기본 논리 게이트(NAND)입력NANDAB전압논리004.44 V1014.43 V1104.44 V1110.17 V0연결방법 및 주의사항① 브레드 보드에 다음 회로
    리포트 | 9페이지 | 2,000원 | 등록일 2009.01.30
  • 실험설계의 기본논리와 장단점
    행정학과 4학년 정책평가론 E형 준실험의 기본논리와 장·단점을 설명하시오.Ⅰ.준실험설계의 기본논리1.준 실험적 방법의 의의와 기본논리1)준 실험적 방법 의의실험적 방법에서와 같 ... 시점을 추가하거나 ② 관찰되는 집단의 수를 추가하거나 ③다양한 변수를 추가하는 방법이 사용될 수 있다.2)준 실험적 방법 기본논리실험집단과 통제집단으로 나누고 실험집단에게는 일정 ... 은 통제된 실험은 정책의 효과를 기타 효과와 분리함으로써 인과적 효과를 밝히는 것을 가능하게 하지만, 앞서 언급한 바와 같이 현실적으로 실험적 설계의 적용을 어렵게 하는 많은 정치
    리포트 | 6페이지 | 2,000원 | 등록일 2010.03.23
  • 논리회로실험 논리게이트결과보고서
    실험제목: 논리 게이트(결과보고서)1. 예비조사 및 실험 내용의 이해1.1 게이트의 종류AND gate - 입력값 모두 1일때 출력 1, 그 밖은 0OR gate - 입력값중
    리포트 | 5페이지 | 1,500원 | 등록일 2008.01.14
  • RAM에 관한 논리회로 실험 결과 보고서
    하게 나와 버리게 된다.ⅳ) 실험 과정이 너무 길어서 중간에 입력 데이터를 하나 잘못 넣을 경우 다른 출력 값에도 영향을 미치게 된다.ⅴ) 64BIT RAM에서는 저장 형태가 그레이 코드형태로 저장되는 것을 알 수 있었다.
    리포트 | 3페이지 | 3,000원 | 등록일 2010.11.30
  • 아주대 논리회로실험 가산기, 감산기 결과보고서
    논리회로실험 결과보고서실험3. 가산기 & 감산기실험 1) 반가산기 회로를 구성XOR(IC 7486) gate 와 AND(IC 7408) gate 이용입력출력xyCS0 ... *************10실험 1 반가산기 결과값(결과는 LED를 이용하여 확인함)실험 1은 반가산기를 구성하는 실험이었다. 반가산기 회로는 2진수 덧셈에서 맨 오른쪽 자리 계산을 위해 사용 ... 는 2가 되는 것이다.이번 회로를 구성하기위해 XOR gate와AND gate를 사용하였다. 회로대로 IC칩을 사용해서 연결하면 쉽게 할 수 있는 실험이었다. 예비보고서에 제출
    리포트 | 7페이지 | 1,000원 | 등록일 2013.11.29
  • 실험 제목 : 기본 논리 게이트
    실험 1. 결과 보고서실험 제목 : 기본 논리 게이트1. 실험 결과(입력전압 : 5V)표 1-6 AND 게이트ABC0V0V0.096V0V5V0.096V5V0V0.096V5V5V4 ... 은 기본이 되는 논리 게이트의 동작특성을 이해하고 직접 구성하여 측정하는 실험이었다. 실험 전 배운 내용과 같이 실험 결과 값들은 각각의 논리 게이트 특성에 맞게 High(1)와 Low ... 고 게이트의 응답을 보여주고 있음을 알 수 있었으며 어느 정도 적정전압에 도달해야만 논리조건을 충족시킨다는 것을 알 수 있었다. 간단한 실험이었지만 논리 게이트를 직접 구성하고 측정함으로써 논리 게이트의 동작특성을 이해하는데 많은 도움이 되었다.
    리포트 | 2페이지 | 무료 | 등록일 2008.01.03
  • [논리회로]논리게이트의 특성실험
    논리회로 REPORT게이트 특성실험과목학과학번이름제출일담당교수○ 회로구성위 사진과 같이 회로를 구성하였다. IC칩을 작동시키기 위해 DC서플라이 하나를 이용하여 14번 핀 ... .90V 이었다.○ 결과 분석각 IC의 내부 회로도에 나타난 게이트의 입출력 핀에 연결하여 실험한 결과 각 IC의 게이트가 가진 진리표를 만족시키는 결과 값이 나왔고, 이는 IC
    리포트 | 6페이지 | 1,000원 | 등록일 2006.04.30
  • 논리회로 실험 보고서 (RAM)
    1. 실험결과(1) 2-bit RAMOE 0OE 1In 0In 1WR 0WR 1Out 0Out 11101001HL2101010LL3010101LH4010110LL< 1 >< 2 ... >< 3 >< 4 >=> 우리 조는 교과서에 있는 표는 보기도 어렵고 실험도 어려워 동영상에 있는 표를 이용하기로 하였다. 위의 시물결과와 같은 결과를 얻을 수 있었다. 마지막 ... >=> Binary-Gray 코드변환 실험을 분석해보면 일단 64-bit RAM의 16개의 주소에 각각 4-bit의 정보를 주입하게 된다. 이 때 주입하는 정보는 결과표의 B에 해당되는 값들 즉
    리포트 | 2페이지 | 1,500원 | 등록일 2008.11.27
  • 논리회로설계실험 비동기 카운터 설계
    1. 비동기 카운터 설계library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity cnt_4 isport(CLK, RST : in std_logic;CNT_out: buffer std_..
    리포트 | 4페이지 | 3,000원 | 등록일 2010.12.22
  • 프레시홍 - 추석
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 20일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:53 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감