• AI글쓰기 2.1 업데이트
  • 통합검색(111)
  • 리포트(97)
  • 논문(10)
  • 자기소개서(2)
  • 시험자료(1)
  • 방송통신대(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"DataFlow" 검색결과 61-80 / 111건

  • 2 port Or gate 설계
    Modeling entity OR2 is port ( I1, I2 : in bit; O : out bit); end OR2; architecture Dataflow of OR2 ... is Begin O = I1 or I2; end Dataflow;3 장 . 설계 ( 실험 ) 내용 및 방법 - WebPack 을 이용하여 2 Port OR Gate 에 대한 ... Simulation 1) Architecture 의 안에 OR 연산에 대한 코드를 입력한다 . architecture Dataflow of OR is begin O = I1 or I2
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 1,500원 | 등록일 2010.09.09
  • BCD to Excess-3 Code Conveter
    하는 Dataflow model code로 구성해 보고 functional/timing simulation을 수행, board에 download하여 동작상태를 확인한다.Behavioral ... 한 장점이 있다.Dataflow Descriptionmiddle level 표현으로 주로 연산자나 function등을 이용한 설계 표현으로 회로 설계의 동작 이해가 다소 어려운 점 ... - Refer to the behavioral model.- Design it with dataflow model.- A main template file will be offered for
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 1,500원 | 등록일 2010.11.02
  • [VerilogHDL] 4bit 10진 덧셈기 설계(7segment 제어)
    ■ 설계개요▪ HBE-COMBO 트레이닝 키트 내에 0에서 9까지의 보드를 사용하여 입력된 2개의 4비트 데이터의 덧셈을 수행한다.▪ 2개의 4비트 데이터 키입력에 대한 결과 값이 LCD에 출력됨과 동시에 dotmatrix블럭에서는 "2 Digit Decimal Add..
    Non-Ai HUMAN
    | 리포트 | 1페이지 | 1,500원 | 등록일 2015.08.02
  • 2 port nand xor gate 설계
    . 관련 기술 및 이론2 Port NAND-Gate 의 Data Flow Modeling Behavioral ModelingArchitecture Dataflow of NAND_gate ... is Begin O = I1 nand I2; end Dataflow;Begin process(I1,I2) begin if (I1='1') and (I2='1') then O ... ModelingArchitecture Dataflow of XOR_gate is Begin O = I1 xor I2; end Dataflow;Begin process(I1,I2
    Non-Ai HUMAN
    | 리포트 | 24페이지 | 1,500원 | 등록일 2010.09.09
  • OR Gate
    1. Dataflow에 따른 OR Gate의 코드① HDL 코드library IEEE;use IEEE.std_logic_1164.all;entity or_gate1 isport ... (in_a , in_b : in std_logic; out_c : out std_logic);end or_gate1;architecture arch_or_dataflow of or ... _gate1 isbegin out_c
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2009.12.06
  • VHDL-Pre lab - Decoder and Encoder!! (A+리포트 보장)
    it with dataflow modeldescribe its input output signalsdescribe its functional behaviorsmake a input ... decoder 의 VHDL 코드 작성design it with dataflow modeldescribe its input output signalsdescribe its ... 이번 실험은 decoder와 encoder 의 정확한 개념을 파악하고 8x3 encoder와 3x8 decoder 를 진리표를 보고 논리식을 세운 다음, Dataflow model
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 2,000원 | 등록일 2009.06.29
  • 2-port OR gate
    is architecture Dataflow of OR2 is begin begin process O = I1 or I2; begin end Dataflow; if (I1 = '0 ... Dataflow of OR_gate is begin O = I1 or I2; End dataflow 라는 코드를 작성한다.3. 설계 내용 및 방법그림1. 2 port OR Gate를 Data
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 1,000원 | 등록일 2010.06.24
  • VHDL-Post lab - Decoder and Encoder!! (A+리포트 보장)
    it with dataflow modeldescribe its input output signalsdescribe its functional behaviorsmake a input ... decoder 의 VHDL 코드 작성design it with dataflow modeldescribe its input output signalsdescribe its ... 는 Push button을 사용 했다가는 한 사람이 계속해서 결과 값 관찰을 위해 누르고 있어야 된다는 말이 된다. Encoder 를 dataflow 로 작성하는데 simulation
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,500원 | 등록일 2009.06.29
  • 32bit instruction simulator 구현 (코드포함)
    1. 제목 : 32bit instruction simulator 구현2. 목적 : 32bit instruction simulator 구현하고 dataflow를 관찰한다.- 수업
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 5,000원 | 등록일 2010.10.26 | 수정일 2024.01.04
  • Digital System Design VHDL(디지털 시스템 디자인 VHDL)
    개요Dataflow Representation Behavioral 과 Structure 표현의 중간단계로서 데이터흐름을 나타내듯이 시스템의 기능을 나타낸다 . 주로 연산자 ... O1 = I1 or I2 after 5 ns; end ex5; VHDL 개요Dataflow Representation – Conditional Concurrent Signal
    Non-Ai HUMAN
    | 리포트 | 53페이지 | 3,500원 | 등록일 2011.11.08
  • 2-Bit Adder Design
    할 수 있었다.이번에는 Dataflow Modeling의 방법을 사용하여 아래의 Verilog를 완성하였다. 이 방법으로 사용할 때 xor는 ^ 을 이용하여, and는 & 를 이용 ... 을 얻었다.위의 Waveform에서도 확인했듯이, Dataflow Modeling의 방법을 사용하여 Verilog를 작성하고, Waveform을 확인했을 때에도 Output ... 하여 Waveform을 얻어 Truth Table과 일치하는지를 확인하였다.Gate-Level Modeling으로 Verilog를 작성하든지 Dataflow Modeling으로 작성하든지 그
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 3,000원 | 등록일 2010.01.29 | 수정일 2023.06.21
  • VHDL을 이용한 논리 게이트 실습
    는게 문법이므로 주의한다. 그리고 entity is 이사이에는 프로젝트명과 일치시켜주 도록한다. 마찬가지로 맨마지막줄의 end 다음 부분도 프로젝트명과 일치시켜준다.architecture dataflow of And_gate_vhdl isbeginY
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 1,000원 | 등록일 2014.05.31 | 수정일 2014.06.02
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    Behavioral VHDL Model을 작성하고 Test bench도 작성하여 Simulation 상태를 확인한다.Assignment 2에서는 Dataflow Model를 사용 ... 의 process문 사용▶ Dataflow or RTL Modeling- 각 문장이 병행적 수행(회로의 각 구성 요소 작동 표현)- 부울함수, RTL, 또는 연산자 (AND, OR등 ... 것이다.Dataflow 와 Behavioral code를 잘 짜서 simulation 해보도록 한다.4. BCD-to Excess-3 code converter on
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • 3×8 Decorder, 8×3Encorder 설계
    1. 3×8 Decorder : Dataflow Modeling① HDL 코드library IEEE;use IEEE.std_logic_1164.all; entity
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2009.12.06
  • Half Adder, Full Adder 설계
    .all;entity or_gate1 isport (in_a , in_b : in std_logic;out_c : out std_logic);end or_gate1;architecture arch_or_dataflow of or_gate1 isbeginout_c
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2009.12.06
  • [토끼] BCD to EX-3 code converter (combinational circuit)
    _vector(3 downto 0));End bcd_3excess;ARCHITECTURE dataflow OF bcd_3excess ISBEGINE(3)
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 5,000원 | 등록일 2011.04.10 | 수정일 2020.07.16
  • 전전컴실험Ⅱ 06반 제04주 Lab#03 [Verilog HDL] 결과보고서
    를 사용하면, 코드를 훨씬 쉽게 짤 수 있다는 것을 알았다. 처음에는 잘 사용할지 몰라 dataflow로도 해보고, 이것저것 다 해보았다. 막상 벡터의 사용법을 알고 다른 것보다 훨씬
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 1,500원 | 등록일 2013.09.09 | 수정일 2013.09.15
  • 논리회로 프로젝트 보고서
    (설계한 module의 동작 원리)- Divider- Multiplier(Booth multiplication algorithm)5. 설계결과 및 분석- Dataflow 방식
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 3,000원 | 등록일 2012.12.23 | 수정일 2013.11.25
  • ASIC실험
    dataflow_des of Parity_checker issignal p : std_logic;beginp ... ;end dataflow_des;parity checker 테스트 벤치-
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 8,000원 | 등록일 2008.09.03
  • VHDL BCD to Excess-3 Code Converter(post lab) vhdl code 입니다.
    Source Results HYPERLINK \l "dataflow" 3) Excess-3 Serial Code Converter Dataflow Source Results ... 을 통하여 VHDL의 사용법을 익혀보고, Behavioral model과 dataflow model로 프로그램을 해보고 두 개의 방식을 모두 simulation과 emulation
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 2,000원 | 등록일 2008.09.28
  • 전문가요청 배너
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 30일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감