• AI글쓰기 2.1 업데이트
  • 통합검색(92)
  • 리포트(72)
  • 논문(15)
  • 시험자료(2)
  • 서식(1)
  • 자기소개서(1)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Two-dimensional Modulation Code" 검색결과 41-60 / 92건

  • 논리회로실험 설계 보고서
    two_c_in_2 : std_logic_vector(7 downto 0);-- signal의 초기 값을 모두 0으로 한다.signal sum_1 : std_logic_vector ... 1 논리회로실험설계과제·REPORT전자공학도의 윤리 강령 (IEEE Code of Ethics)`(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 ... . FPGA board에 설계한 코드를 load한 후 회로로 구현하여 곱셈기의 동작을 눈으로 확인하여 본다.vhdl에 대한 기본적인 설명- HDL은 Text editor, Compiler
    Non-Ai HUMAN
    | 리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 통신실험 결과6
    modulation system.토의이번 실험은 PCM system에서 parallel and serial conversions 과 two common compression laws 에 대해서 확 ... erial conversions 과 two common compression laws 에 대해서 익힌다.2. 실험 과정에 따른 결과1. Setting3. 다음 책의 그림 3.15 ... 의 clock cycle이 필요한가?각각의 code word마다 각각 clock cycle이 필요하다.8. 그림 3-18에서 cord word를 채운다.code word 1010
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 2,000원 | 등록일 2012.12.23 | 수정일 2014.01.01
  • 통신실험 결과보고서 unit12
    해서는 두 개의 분리된 two-wire line을 반드시 이용해야 한다. 이것은 full-duplex transmission이라고 불린다. 이 전송에서는 line ... Unit 12. 결과보고서전자공학도의 윤리 강령 (IEEE Code of Ethics)(출처: http://www.ieee.org)나는 전자공학도로서, 전자공학이 전 세계 인류 ... 로 main channel보다 상당히 낮다. Half-duplex transmission에서 높은 속도의 전송 방향은 반대로 될 수 있다. 이러한 방법을 line turnaround라고
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 2,500원 | 등록일 2013.12.04
  • Information Transmission with a PCM System
    erial conversions 과 two common compression laws 에 대해서 익힌다.2. 실험 관련 이론= 선형 양자화양자화의 폭을 같은 크기로 하는 것.양자화 잡음 ... 를 얻는다.1. 직렬전송통신에 있어서 데이터 신호를 구성하는 단위를 시간적으로 차례로 1비트씩 전송하는 방식. 계측 시스템에서 많이 쓰이는 규격화된 직렬 전송방식으로 RS-232C ... . 계측 시스템에서 많이 사용되는 규격화된 병렬전송 방식으로 8비트의 데이터를 병렬로 보내는 GP-IB가 있다.4. 특징 및 장단점1) 전송 속도가 빠르다.2) 전송로 비용이 늘어난다
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 2,000원 | 등록일 2012.12.23 | 수정일 2014.01.01
  • 통신실험 결과7
    A-law companding의 효과와 PCM 시스템 출력에 신호 대비 양자화 노이즈 상의 신호 레벨을 확인한다.2. 실험 과정에 따른 결과1. 그림 3-56처럼 모듈을 세팅 ... modulation system.토의이번 실험은 PCM system에서 parallel and serial conversions 과 two common compression laws ... Unit 9 _ Exercise 3Effect of μ-law companding on the performance of a PCM system1. 실험 계획-law c
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 2,000원 | 등록일 2012.12.23 | 수정일 2014.01.01
  • 2x2 Alamouti matlab source 레포트
    (:al(:,1) - conj(h(:,3)).*Modulated_signal(:,2));y_signal = awgn(y,SNR_dB(i),'measured');% Received s ... 의 .- 2 Tx-2 Tx의 경우 T 시간 동안 2개의 Symbol을 전송하므로, 4bps/Hz 의 전송율을 만들기 위해서는 4QAM modulation 방법을 사용하게 됩니다. ... )Error_Rate = zeros(size(SNR_dB));%% Space Time Code(Alamouit - SM)for i = 1 : length(SNR_dB
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 3,000원 | 등록일 2010.06.03
  • 심리학입문 기말고사 범위 정리
    -Divide emotions into increasingly narrow sub-categoriesThe two-dimensional approach : Arousal ... Module 24 Understanding Emotional Experiences24.1. Define the range of emotionsEmotions – Feelings ... Determining the Range of Emotions : Labeling Our FeelingsEmotions-PositiveLove : Fondness, infatuationJoy
    Non-Ai HUMAN
    | 시험자료 | 14페이지 | 1,500원 | 등록일 2012.12.07
  • IMPLEMENTATION OF TFT-LCD
    . TFT-LCD Implementation in Verilog HDL ・・・・・・ 61. Verilog HDL Source Code: Top_Edge.v ... Controllers use two 4byte width 16depth FIFO to read image data. TFT-LCD Controllers support only 16bit ... Embedded System Design FinalIMPLEMENTATIONOFTFT-LCDContentsI. Introduction ・・・・・・・・・・・・・・・・・・・・・ 11
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 1,500원 | 등록일 2010.10.09
  • 정서 뇌과학
    LesionLong-Term PotentiationA Neural Network ModelEach module of self-inhibitory, nonlinear units ... ApparatusFear-potentiated StartleConditioned fear-induced freezing and opioid analgesiaThree dimensional view ... 에 대한 반응을 빨리 학습해야 - in a single exposure. Classical Fear Conditioning : 학습된 정서반응 Conditioned Emotional
    Non-Ai HUMAN
    | 리포트 | 54페이지 | 2,000원 | 등록일 2012.05.18 | 수정일 2023.03.06
  • Combining Beamforming and Orthogonal Space-Time Block Coding 논문을 분석
    Combining Beamforming and Orthogonal Space-Time Block Coding2010. 05. 24OUTLINEPaper Title Abstract ... “ Combining Beamforming and Orthogonal Space-Time Block Coding” -George Jongren, Mikael Skoglud ... include paging systems that require very simple receivers[Block diagram of transmission system with two
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 2,000원 | 등록일 2010.10.15
  • Verilog 4bit ALU Design (4비트 ALU설계)
    : Shift 동작 모드 선택- 6bit : Register ra의 Enable 신호- 7bit : Register rb의 Enable 신호◇ Register Module은 4bit ... = a xor mux_s◇ Shift Module은 opcode(5-4)에 따라 다음의 동작을 지원한다.- 00 : No operation- 01 : one bit shift ... right- 10 : two bits shift left- 11 : one bit shift left● 입출력 단자이름방향비트수기능rst_nIn1Reset (Active Low)c
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 3,500원 | 등록일 2009.11.26 | 수정일 2020.09.10
  • 아주대학교 통신실험 예비보고서 (unit8)
    . Refer to Figure 2-13 and connect the modules as shown.The spectrum should resemble Figure2-14.Explain ... PUT CODE -------------------: OFFSETOn the Lowpass Audio FilterORDER-------------------------: 4 ... Unit 8 Distortion and Quantization Noise-Exercise 1 Distortion in PCM Systems-Exercise 2
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 1,500원 | 등록일 2013.11.28
  • What are the opportunities and limitations for growth when considering the Threadless business model?
    model? source: www.37signals.comNAME : MIRAN SHINSTUDENT ID : 24446785MODULE TITLE : Design2MODULE CODE ... because of its unique characteristics that make both one-way and two-way communication, and both mass ... and interpersonal communication enabled(Marken, 2005). Fielding et al. (2008; cited by Martin-Nieme
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 7,000원 | 등록일 2011.11.29
  • Combining Beamforming and Orthogonal Space-Time Block Coding
    이동통신Ⅱ(Matlab을 이용한Beamforming and OrthogonalSpace-Time Block Coding 시뮬레이션)[Term project 레포트]Matlab ... 을 이용한 Beamforming and Orthogonal Space-Time Block Coding 시뮬레이션1. Simulation condition- Number of ... Transmitter antennas : 4- Number of Receiver antennas : 2- Modulation method : BPSK- : 42. Background①
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 3,000원 | 등록일 2010.10.13
  • Erdem moralioglu - 디자이너 리서치
    MODULE CODE : ARTD 6058Do you know what a girl wants? I would like to ask this question to ‘Erdem ... ?What A Girl Wants.ERDEM MORALIOGLUNAME : MIRAN SHINSTUDENT ID : 24446785MODULE TITLE : DESIGN 1 ... /Vogen these clear lines come with unverbalizable delicacy floral print at his ready-to-wear dresses
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 6,000원 | 등록일 2011.11.29
  • verilog coding을 이용한 Adder&Subtractor
    Adder/Subtractor를 설계한다.실험준비물Altera Quartus II 4.0 software, HBE-COMBO 보드배경지식1. 4Bit Adder2. 8Bit Adder ... /Subtractora. 8Bit Adderb. 8Bit SubtractorHaving an n-bit adder for A and B, then S = A + B. Then ... , assume the numbers are in two's complement. Then to perform B ? A, two's complement theory says to
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 1,000원 | 등록일 2009.05.07
  • Chapter 3(pre)
    , usually as a two-dimensional graph of one or more electrical potential differences using the vertical or ... PRE-REPORT< Chap. 3 >Name : Kim Hyun DukI.D. : 2008142245Depart : EE.Engeeniring.Chapter 3 ... and cathode ray display.- An oscilloscope (also known as a scope, CRO, DSO or, an O-scope) is a type
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,000원 | 등록일 2011.04.04
  • Mobile WiMAX Protocol Layering
    systemAMC(Adaptive Modulation and Coding)The signal processing and frame structuringare tailored for ... / QPSK / 16QAM / 64QAM 등 다양한 변복조 방식을 가변적으로 사용할 수 있는 AMC(Adaptive Modulation Coding) 방식을 적용하고 있고, 이 방식 ... 입니다. 발표를 시작하도록 하겠습니다.*..PAGE:2Contents2.2.1 Service-Specific Convergence Sublayer12342.2.2 MAC Common
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 1,000원 | 등록일 2011.02.14
  • 미국경영대학원지원리스트
    번호 학교 데드라인 경영학-PHD홈페이지 GMAT리포팅 토플미니멈 토플 Code 온라인지원사이트 서류송부주소 Admission Requirement1 Washington ... ) Graduate Programs in Business (경영대)Washington State UniversityPO Box 644744Pullman, WA 99164-4744 ... Telephone: Phone: 509-335-5628Contact: Jonathan D. ArthursEmail: jarthurs@wsu.edu2 university of
    Non-Ai HUMAN
    | 리포트 | 27페이지 | 5,000원 | 등록일 2011.08.06
  • 전기전자 응용실험_11주차 결과 보고서
    line tracer.�� We implement the connector board to connect the motor board and MDA-WIN196 kit.ケ ... the motor board. The connector is connected to MDA-WIN196 kit. When the signal from the sensor board ... Vcc2 not to be damaged.ConditionminmaxSupply VoltageVcc14.5V7VVcc2Vcc136VHigh-Level Input VoltageVcc1
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2011.07.09
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 31일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감