• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(541)
  • 리포트(465)
  • 시험자료(30)
  • 자기소개서(19)
  • 논문(17)
  • 이력서(4)
  • 서식(3)
  • 방송통신대(2)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Library Project" 검색결과 401-420 / 541건

  • 뉴질랜드의 컴퓨터교육
    liveach classroom space has a machine with additional computers in the library, special needs and ... in a range of integrated information technology projects during the year.?All classes have weekly s
    Non-Ai HUMAN
    | 리포트 | 36페이지 | 3,000원 | 등록일 2009.03.17
  • VHDL코드를 이용한 spartan-3 보드구현(spartan 보드 사용법)
    을 맞추고, Xilinx ISE 9.2i프로그램을 사용하여 VHDL을 이용한 디지털 회로 설계를 관리하는 project의 생성과 관리 그리고 시뮬레이션과 에뮬레이션을 통하여 실습 ... detail.Webpack을 설치하고 나면, xilinx 9.2i폴더 아래 project navigator이란 실행파일이 있다.기본적으로 보여지는 윈도우는 소스윈도우, 프로세스윈도우 ... 한 대로 바꿀 수가 있으며, 저장도 가능하다.각 윈도우를 상세히 보면 다음과 같다.소스윈도우소스윈도우에는 세 가지의 탭이 있는데, Sources, Snapshots, Libraries
    Non-Ai HUMAN
    | 리포트 | 29페이지 | 3,000원 | 등록일 2008.06.01
  • ebXML 의 정의와 현황
    ://www.ebtwg.org/projects/xbdl.html" \t "_blank" XBDL(XML Business Document Library)■ OASIS ... 들을 //www.ebtwg.org/projects/u2xdr.html" \t "_blank" UMLtoXML(UML to XML Design Rules)- HYPERLINK "http
    Non-Ai HUMAN
    | 리포트 | 19페이지 | 3,000원 | 등록일 2008.05.13
  • 윈도우API와 C로 제작한 테트리스 게임
    ..FILE:Tetris Acade/Tetris Acade.dsp# Microsoft Developer Studio Project File - Name="Tetris Acade ... a valid makefile. To build this project using NMAKE,!MESSAGE use the Export Makefile command and run ... ")!MESSAGE "Tetris Acade - Win32 Debug" (based on "Win32 (x86) Application")!MESSAGE# Begin Project# PROP
    Non-Ai HUMAN
    | 리포트 | 1,000원 | 등록일 2007.10.09 | 수정일 2015.05.08
  • 미생물학 gene cloning 실험 전 작성한 예비레포트
    의 chain reaction) 방법이 있다. Library screening은 처음으로 어떤 유전자를 cloning할 때 쓰는 방법인데, 상당히 시간이 걸리고 어려운 방법이 ... 므로 여기서는 PCR 을 설명한다. 최근에 수행된 human genome project의 결과로 인해 이제는 genomic DNA에 대한 정보는 거의 모두 밝혀져 있다고 해도 과언이 아니 ... 다. 하지만 mRNA에 대한 정보는 미약하여 아직도 library screening의 방법은 중요한 것이다.◇ 유전자에서 증폭할 부분이 어디인가PCR 을 하겠다면 증폭할 부위
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 2,000원 | 등록일 2007.12.13
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    onverter5. BCD-to Excess-3 code converter on Spartan B/D1) Project 생성후 New Source Wizard 설정2) VHDL ... 으로 표현이 가능하다.Code에 주석을 달아 보면서 코드를 이해해 보도록 한다.library IEEE; --ieee 라이브러리use IEEE.STD_LOGIC_1164.ALL;use
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • 판매자 표지 자료 표지
    [Wireless Control Micromouse] 무선 조정 마이크로마우스 with VHDL
    한 Wireless Control Micro Mouse1. 서론1) 제작 목적 및 수행 범위: 이번 Project를 선정하게된 계기로는 이전 컴퓨터시스템 실습 시간에 DC모터를 이용한 라인 ... 트레이서를 제작하여 보았었는데 그때 당시 Project를 수행하면서 많은 부분 아쉬웠었습니다. 예를 들어 정교한 신호제어를 하지 못해 다양한 상황에 대처하지 못한다는 것 그리고 ... 속도 제어를 하지 못했다는 것 등이 있었습니다. 그래서 이번 Project에서 이전의 아쉬웠던 부분들을 보완하고 추가적으로 세밀한 컨트롤 기능을 더하기 위하여 Step모터를 사용
    Non-Ai HUMAN
    | 리포트 | 24페이지 | 1,000원 | 등록일 2007.12.01 | 수정일 2015.07.26
  • 경희대학교 asic 프로젝트( 윷놀이 게임만들기 )
    ASIC PROJECT- 랜덤변수를 이용한 2인용 윷놀이 게임 -목 표 : 랜덤 변수를 이용하여 2인용 윷놀이를 만들어 보자.제작 도구 : quartus2 program and ... 개로서 직사각형의 형태를 하고 있다.실험 소스 :library ieee;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 5,000원 | 등록일 2007.01.18
  • [인터넷 정보원] BioEngineering Abstracts 조사발표
    , tracts, Mechanical Engineering Abstracts, METADEX, NTIS, Polymer Library (formerly Rapra Abstracts ... , Paperbase/PIRA (with subfiles), Polymer Library (formerly Rapra Abstracts), Science and Technology ... 정보보기Full Text : 기관에서 구독 중인 전자저널과의 Link를 통한 Full-Text 이용Order : 원문배달 링크(CISTI, Linda Hall Library
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 1,500원 | 등록일 2004.11.08
  • 디지털도어락(digital door lock)설계-논리회로설계실험
    Digital Door Lock중간고사 대체 Project 과제 : Digital Door Lock 설계1. 프로그램 설명흔히 전자키로 쓰이는 digital door lock ... 가 발생하여 error 시그널 값이 1이 되면 모두 s0으로 돌아가도록 한다.2. Source Code (with suitable comments; 주석)library IEEE
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 4,000원 | 등록일 2009.10.23 | 수정일 2015.11.04
  • Is there a Future of Outsourcing?
    white-collar jobs will move overseas by 2015,” and also, according to projections, “the hardest hit ... Library.com Retrieved September 30, 2007, from http://www.accessmylibrary.com/coms2/summary_0286
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 2,500원 | 등록일 2008.04.10
  • 예문과 함께 하는 토익단어 자료
    아침 버스로 통근한다.)(46) contractor : 계약자The contractor will sign this project according to the agreement ... can offset losses.(다른 사업망이 그 손실을 보완해 줄 수 있을 것입니다.)(112) delay : 지연시키다Construction on the project was ... ) donation : 기증. 기부(금)The public library is supported by donations from many people.(공공 도서관은 많은 사람들의 기부금
    Non-Ai HUMAN
    | 시험자료 | 14페이지 | 1,500원 | 등록일 2008.11.05
  • 부모교육 프로그램의 형태
    다.① The Haringey Reading Project : 이 연구는 1976년 연구되어 1980년 보고되었다. 이 연구의 목적은 유아가 책을 읽을 때 부모들로 하여금 들어주도록 참여 ... lending library)- 교육자료 및 매체 지원 센터(resource library)↓↑↓↑↓↑유 아- 장난감 대여 도서관(toy lending library)- 부모의 후원
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 2,000원 | 등록일 2007.04.08
  • 마리오 보타와 현대건축
    이 있다. 2층과 3층에는 gallery가, 4층에는 건축주의 주거, 5, 6층에는 오피스로 사용되고 있었다.* Library at Villeubanne축물이 가로와 경계를 형성 ... 면서 서 있는 기둥들이다. 도시적 스케일 project에서 즐겨 사용하는 건축언어 외부로는 폐쇄적이며 내부로는 개방적인 도시 생활의 은유적 .외벽의 줄무늬 구성 입면은 이 건축물
    Non-Ai HUMAN
    | 리포트 | 51페이지 | 5,000원 | 등록일 2009.09.09
  • 한국 HP(휴렛패커드)합격 자기소개서
    Library).Exchange Student scholarshipFinished the two years of courses about Trade and Chinese in ... ).COMMUNICATIONSKILLSDeveloped effective interpersonal skills through continuous interactions with group project in a lot of
    Non-Ai HUMAN
    | 자기소개서 | 2페이지 | 3,000원 | 등록일 2007.01.19
  • [전산학] CppUnit에 대한 조사와 간단한 사용예 만들기
    에 Set as Active Project로 맞춰준다.(기본값) 그리고 컴파일 해주면 lib 폴더에 library 화일들이 생성될 것다. 만약 제대로 설치가 되었다면 다음과 같 ... -> Link -> Input -> Additional Library directories{(2) RTTI를 체크{{(3) Project Setting -> Link ... 마다 dll 화일을 자동으로 복사해준다.{(5) Project Setting -> C/C++Code Generation -> Use Run-Time library{- Debug Mode
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,000원 | 등록일 2003.05.21
  • [유전체기능학]유전체기능학 6장
    기 위해 library 다시 check(두개 의 다른 contigs and sequece를 가진 clone을 찾음) 여기서도 여전히 못 메꾼 gap은 library에 없는 것이므로 두 ... genome project에 사용 · shotgun sequencing 방법에 기준해 볼때 genome 길이의 6.5~8배를 sequencing하면 99.8x의 genome을 c ... ) YAC vector cloning의 단점 때문에 BAC vector사용 IHGSC 30만 BAC clone으로 이루어진 library 제조 후 'sequence ready
    Non-Ai HUMAN
    | 리포트 | 23페이지 | 1,000원 | 등록일 2006.07.11
  • [건축가] 안도 다다오 (Ando Tadao)
    전시회 (2page)Project Chronology (2~8page) ando의 건축읽기 (9~11page) 참고문헌 및 사이트 (12page)subject : 졸업연구 ... professor : * * * 교수님contents : Ando 's profilestudent : * * *date : 2004. 4. 9.Project chronology ... & New ?코베시영빈관 OLD/NEW1985Tea House in Oyodo오사카시1985-87Shibuya Project토쿄도1985-86Townhouse in
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 1,000원 | 등록일 2005.04.29
  • [독서치료][독서치료 가치][독서치료 유형][독서치료 원리][독서치료 방법][독서치료를 위한 도서선정]독서치료의 가치, 독서치료의 유형, 독서치료의 원리, 독서치료의 방법, 독서치료를 위한 도서선정에 관한 분석
    는다는 견해도 있으며 독서교육(biblioeducation), 독서상담(bibliocounceling), 도서관 치료학(library therapeutics), 문학치료 ... 과정으로, 투영(projection)과 섭취(ingestion)가 있다. 여기서 투영이란 자기의 감정, 사고, 성격, 태도를 다른 사람가운데서 찾아내는 것을 말하며, 섭취는 그 반대
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 5,000원 | 등록일 2009.07.17
  • 디지털도서관
    이 가속지인 Charett의 1호에서 54호를 디지털화하였다. 또한Million Book Project Collection(MBP)는 적어도 100만권의 책을 디지털화하였고, 그것을 인 ... 게이트웨이인 나의 도서관(My library)은 이용자들이 도서관 업무(대출 항목, 연체료 만기일)을 알 수 있고 더불어 이용자는 탐색하고 결과를 구하고 그들이 규칙적으로 문의
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,500원 | 등록일 2009.07.11
  • 전문가요청 배너
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 27일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:58 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감