• AI글쓰기 2.1 업데이트
  • 통합검색(541)
  • 리포트(465)
  • 시험자료(30)
  • 자기소개서(19)
  • 논문(17)
  • 이력서(4)
  • 서식(3)
  • 방송통신대(2)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"Library Project" 검색결과 441-460 / 541건

  • [컴퓨터공학] ActiveX 강의노트
    가 제시한 개체모델. COM의 목적 - 응용프로그램 기능의 구성 요소화(Component화) 재사용성 높임. COM개체는 DLL (Dynamic Link Library)과 같이 ... - [Project1 속성..]실습1-1. ActiveX DLL 만들기코드 입력창의 일반 선언부에 변수 선언 Private로 선언 - 클래스 외부에서 접근/변경 불가능 (캡슐화) 속성 프로 ... -2. ActiveX DLL 을 이용한 프로그램시작프로젝트 설정 / 저장저장Form - PuppyTest.frm Project - PuppyTest.vbp Group
    Non-Ai HUMAN
    | 리포트 | 26페이지 | 1,000원 | 등록일 2004.12.02
  • [c++] array ADT
    ..FILE:Array_ADT.cpp/*array1 구조 ADT Library Source*/#include #include #include "Array_ADT.h ... /* Array 구조 ADT Library Header */#ifndef __Array_ADT_H#define __Array_ADT_Htypedef enum __BOOLEAN ... Project File - Name="ArrayADT" - Package Owner=# Microsoft Developer Studio Generated Build File
    Non-Ai HUMAN
    | 리포트 | 1페이지 | 1,000원 | 등록일 2003.11.13
  • 기관중심 부모교육 운영의 실제
    -toddler Program, Brookline Early Education Project(BEEP), Birmingham Model, Nimnicht의 Prent/Child Toy ... Library Program, Ferguson-Florissant Saturday school, St. Cloud Family- Oriented Structured Preschool2
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2007.04.08 | 수정일 2014.07.03
  • [vhdl] MyVHDL를 이용한 7SEGMENT
    될 것이다. Project Name에 test를 입력하자. 그리고 다음 버튼을 누르면 그림 3의 화면이 나온다.그림 Library 설정 화면그림 3에서 맨 밑의 Use ... 다. 화면 상단부의 좌측은 Project Window라 부른다. 그리고 우측의 빈 공간은 우리가 작업할 공간이다. 작업종류에따라 여러 가지의 창들이 나타나는 영역이다. 밑은 콘솔을 표시 ... Library STD와 IEEE를 체크하고 (위의 두 개는 VHDL에서 기본으로 쓰이는 라이브러리이다.) Create New Library를 선택한다. Create...는 앞으로 우리가 작업
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2002.11.27
  • [디지털, 통신]ORCAD에 대하여
    가 AutoCAD이다.전자회로 설계프로그램(Electronic CAD)은 대부분 기존의 전기, 전자 정보를 갖고 있는 library를 불러들여 전자회로 설계를 구성하게 된다.그러나 ... 기구설계 프로그램(Mechanical CAD)은 기존의 library를 갖고 있지 않으며 사용자가 일일이 라인과 곡선 등을 그리고 여기에 색상 등을 입히는 이미지를 생성 ... 프로그램으로도 netlist 파일을 생성할 수 있다.-약 25,000개 이상의 많은 library를 내장하고 있으며 새롭게 부품 혹은 심벌을 생성하기가 쉽다.-Wire, Bus 등
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,500원 | 등록일 2004.10.08
  • [프로그래밍언어] api 내가 만든 그림판
    ".\Dmakefile. To build this project using NMAKE,!MESSAGE use the Export Makefile command and run ... Debug" (based on "Win32 (x86) Application")!MESSAGE# Begin Project# PROP AllowPerConfigDependencies 0 ... - Win32 Release"# PROP BASE Use_MFC 0# PROP BASE Use_Debug_Libraries 0# PROP BASE Output_Dir "Release
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 1,000원 | 등록일 2003.12.16
  • 도시를 마케팅하는 서울시
    on서울특별시청 홈페이지 http://www.seoul.go.kr/minsun_4th_6project/main.html● 민선 4기 20대 핵심 과제Vision House서울 ... 등 3,500만평이 공원(시 면적의 13.9%) ㆍ 대규모 개발시 공원 및 녹색길(그린웨이) 조성출처 : http://brandcareer.com/02_brain_library
    Non-Ai HUMAN
    | 리포트 | 29페이지 | 3,000원 | 등록일 2008.11.01
  • Bresenhem's Line Algorithm
    _PROJECTION); /* initialize viewing values */gluOrtho2D (0.0, 200.0, 0.0, 150.0 ... ** argv){glutInit (&argc, argv); /* initialize glut library */glutInitDisplayMode (GLUT_SINGLE | GLUT
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2004.10.02
  • [멀티미디어] 그래픽 툴 : Illustrator, Flash, Premiere, After Effect, 3D-MAX 소개
    한 파일은 *.fla 파일)ㆍOpen as Library : Flash Movie(*.fla) 파일의 Library 만 불러 옴.ㆍClose : 현재 작업 화면에 있는 Flash ... 하도록 하는 기능.ㆍMute Sounds : 애니메이션에 삽입한 사운드를 Off하는 기능.*Libraries 메뉴ㆍButtons : 플래시에 기본으로 제공되는 버튼 심볼 라이브러리 ... :사용자가 추가한 심볼라이브러리. *.fla파일에 심볼을 담아서 Flash3/libraries폴더에 복사하면 사용자가 지정한 파일 이름으로 메뉴에 등록.ㆍSounds : 플래시
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 1,000원 | 등록일 2003.08.21
  • 디지털콘텐츠의 모든것
    효과 기술 상호작용 기술개발 애니메이션 제작기업의 애로기술지원(In-House S/W 개발지원)기술개발세계 2대 Post-Production 생산기지화 세계 Major Project ... 도 개용을 위한 e-Library 구성 부처간 협의체 구성(노동부, 산자부, 교육부, 정통부 등)산업기반조성e-Learning 콘텐츠 거래인증 활성화 지원 e-Learning의 공
    Non-Ai HUMAN
    | 리포트 | 29페이지 | 2,000원 | 등록일 2008.10.16
  • [미생물유전체]미생물 유전체
    을 적당한 vector에 넣어 대장균에서 증폭되게 함으로써 이 미생물의 genomic library를 만든다.Library는 DNA 조각의 크기와 vector의 종류에 따라 pUC ... , 그리고 bacterial artificial chromosome (BAC) [5]에 100 kb 이상의 DNA가 삽입된 것 등으로 나눌 수 있다.이렇게 만들어진 library ... projects. Microbiology 145:2625-26344. Kim UJ, Shizuya H, de Jong PJ, Birren B, Simon MI. 1992
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 5,000원 | 등록일 2005.11.21
  • 렘쿨하스
    Housing in Fukuoka, JapanAntonio Gaudi Prize, Olympic Awards for Lille Urbanism Project 1993? Visiting ... ? RIBA 금메달 수상, 20041.1.3 주요작품? Seattle Public Library Seattle, U.S.A? MAB-Tower Rotterdam
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,000원 | 등록일 2007.12.20
  • verilog hdl을 이용한 uart 설계
    ]order=1macro=[Expand]uart=1..FILE:library.cfg$include = "C:\Program Files\Aldec\Active-HDL 6.3\vlib ... Settings\dnflqkd\바탕 화면\UART.v|]TemplateId=2..FILE:UART/UART.adf[Project]Current Flow=MultivendorVCS=0 ... version=1Current Config=compile[Configurations]compile=UART[Library]UART=.\UART.lib[$LibMap$]UART=.Active
    Non-Ai HUMAN
    | 리포트 | 2,500원 | 등록일 2005.01.13
  • 메타데이터 표준
    OCLC에서는 인터넷자원프로젝트(Dillon et al. 1993)와 인터넷목록 프로젝트(Intercat project)를 통해서, 전자정보와 인터넷자원을 MARC형식으로 수용 ... 된다는 관점이다(Lagoze 1996).이에 따라 1996년 OCLC와 UKOLN(UK Office for Library and Information Networking)의 제2차
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2007.12.02
  • [컴퓨터 그래픽]컴퓨터 그래픽
    Graphical Library)을 주로 사용하며, 복잡하고 많은 계산을 요하기 때문에 그래픽 가속기에 영향을 받음산업디자인, 웹디자인을 비롯하여 영화에 응용기본 개념..PAGE:3그래픽스 ... of projection) : 3D를 2D로원근 투영(perspective projection)평행 투영(parallel projection)② 뷰잉 매개변수 결정 : 뷰포트 위치
    Non-Ai HUMAN
    | 리포트 | 63페이지 | 3,900원 | 등록일 2006.04.24
  • [natural science] water as a natural resource
    the projected water use is for agricultural irrigation. Eighty countries, with 40 percent of the world ... water problems to grow increasingly severe.Works Cited HYPERLINK "https://www.library.wmich.edu/cgi ... ://www.library.wmich.edu/cgi-bin/Pwebrecon.cgi?SC=Author&SEQ=20040920002228&PID=4433&SA=Clawson,+Marion
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 2,000원 | 등록일 2005.05.14
  • [전자공학] Modelsim & Synplify & ISE를 이용한 VHDL 시뮬레이션
    5. 모델심이 사용할 기본적인 library 생성Digital Communication 소모임 I작성자 : 이 상 철..PAGE:61. Function 시뮬레이션6. Compile ... . 합성(Synthesis)1. Synplify 를 실행 시킨다.2. New Project를 생성한다.Digital Communication 소모임 I작성자 : 이 상 철..PAGE ... :122. 합성(Synthesis)프로젝트 생성을 project wizard 를 이용하서 new project를 만들겠습니다.(1) 프로젝트 이름과 프로젝트 경로 설정(2) Chip
    Non-Ai HUMAN
    | 리포트 | 39페이지 | 1,000원 | 등록일 2003.11.25
  • VHDL을 이용한 디지털 시계 제작
    디지털 시스템 설계※ PROJECT 최종 보고서 - Digital 시계 설계※ 목 차 ※1. 개 요(1) 설계목적(2) 계발일정2. 제작과정(1) 하드웨어 제작(2) 소프트웨어 ... 초기상태로 설정됨 ? 00시 00분 00초(5) 전체 프로그램 코딩library ieee;use ieee.std_logic_1164.all;entity watch isport(clk
    Non-Ai HUMAN
    | 리포트 | 19페이지 | 5,500원 | 등록일 2007.04.02
  • Definition of KPAs(CMM)
    atisfied.KPAs at Level 2.To focus on software project's concerns related to establishing basic project ... ustomer and the software project of the customer's requirements that will be addressed by the software ... project. Requirements Management involves establishing and maintaining an agreement with the customer
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2003.09.23
  • 중1능률(장영희)6과_내용정리
    delicious맛있는33project연구, 과제9salad샐러드34last week지난 주에10like좋아하다35confused혼란스러운11mayonnaise마요네즈36name이름 ... interview with10public library35some11Hello36curious12Can I speak to37American13look for38Internet14home39j이100
    Non-Ai HUMAN
    | 시험자료 | 42페이지 | 2,000원 | 등록일 2009.07.08
  • 전문가요청 배너
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 28일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:35 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감