• 통합검색(4,699)
  • 리포트(4,402)
  • 자기소개서(219)
  • 시험자료(40)
  • 논문(20)
  • 방송통신대(11)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"논리회로실험" 검색결과 4,061-4,080 / 4,699건

  • op-amp 회로
    될 수 있어서 회로시스템의 주파수 특성을 분석하는데 좋은 신호제공기 가 된다. 또한 논리 신호도 제공되므로 디지털회로 분석에도 용이하다.⑥ 오실로스코프(Oscilloscope ... 1. 실험장비 및 특징① 저 항(resistor)저항은 전류의 흐름을 억제하는 기능을 가진 기초 전자 부품으로전압을 낮추거나 분압 또는 전류량을 제한하려 할 때 사용된다.② 콘 ... 회로나 디지털 회로에도 많이 사용되는 소자이다. ④ 파워 서플라이직류 전원을 공급하여 주는 장치이다.⑤ 함수 발생기(Function Generator)함수 발생기는 낮은 레벨
    리포트 | 7페이지 | 1,000원 | 등록일 2008.04.28
  • [논리회로] 전자키설계예비
    1. CodeLibrary ieee;use ieee.std_logic_1164.all;entity key isport( clk, reset, key_en : in std_logic;key : in std_logic_vector(3 downto 0);beep,door :..
    리포트 | 13페이지 | 1,000원 | 등록일 2004.06.09
  • 전가산기 전감산기 결과보고서
    흐름 모델링, 구조적모델링, 동작적 모델링- 을 통해 반가산기를 만들어본다.1.2 모델링 방식?schemetic - 회로를 직접 설계하는 방법이다. 논리게이트를 불러와서 라 인 ... 실험제목: full adder (전가산기) ,full subtractor(전감산기)(결과보고서)1. 예비조사 및 실험 내용의 이해1.1 전가산기전가산기는 두 개의 입력값(a,b ... )을 (a xor b)한 sum과 (a and b)한 carry-자리 올림수의 두가지 출력을 나타내는 시스템이다.xilinx tool 을 이용한 네가지 구현방법 - 회로구성, 자료
    리포트 | 5페이지 | 1,000원 | 등록일 2008.06.22
  • 판매자 표지 자료 표지
    정전기(ESD)관련 Training 자료
    이상 1. 보호회로가 있는 금속 산화물 반도체 (MOS) 소자 2. 쇼트기 다이오드 3.10 초 이하 속도의 에미타 결합 논리소자 (ECL) 4. 트랜지스터 - 트랜지스터 논리소자 ... TOSHIBA VCD IC 가 파괴됨 .( 실험치 Data) * 잔류전압 8V 일때의 에너지를 계산하면 ? C = 4.7uF, V = 8V 이므로 E = 1/2CV = 0.5 ×4.7 ... ×10 ×8 = 150uJ TOSHIBA VCD IC 의 파괴되는 에너지가 36uJ 이므로 약 5 배의 파괴 에너지를 지니고 있다 . MNT 회로내에서의 잔류전압은 정전기에 비해
    리포트 | 22페이지 | 3,800원 | 등록일 2010.02.25 | 수정일 2018.10.10
  • [논리회로]병렬 로드를 가지는 4bit 양방향 시프트 레지스터
    2. 프로그램 소스(1) mux.vhdlibrary ieee;use ieee.std_logic_1164.all;entity mux4x1 is port( data1, data2, data3, data4: in std_logic; sel ..
    리포트 | 8페이지 | 1,500원 | 등록일 2006.05.29
  • [전자회로실험]MOSFET Digital Logic Gate_예비보고서
    MOSFET Digital Logic Gate 예비 보고서? 실험 목적디지털 로직 게이트를 기초로 하여 MOSFET의 동작을 이해한다.? 실험 이론디지털 논리회로는 BJT ... 와 MOSFET의 두 가지 종류의 트랜지스터를 사용하여 구현할 수 있다. 그 중에서도 이번 장에서는 MOSFET을 이용하여 논리회로를 구현하는 것을 다뤄보고 Passive Load ... 와 일치한다.2. 그림 2-1의 회로에서 M1트랜지스터를 1㏀ 저항으로 대체하고 실험1의 과정을 반복하라.
    리포트 | 10페이지 | 1,000원 | 등록일 2007.06.25
  • [대구기능대학][대구기능대학 연혁][대구기능대학 학교조직][대구기능대학 학과소개][대구기능대학 견학 후기]대구기능대학의 연혁, 대구기능대학의 학교조직, 대구기능대학의 학과소개, 대구기능대학의 견학 후기
    설비설계, 생산관리, 품질관리, PLC이론, 프로그래밍, 전기기초실습, 전기기기실험, 시퀸스제어실습, PLC실습, 디지탈회로실습, 전력회로실습, 센서계측실습, 전기 CAD, 컴퓨터 ... , 프로그램언어, 생산관리, 품질관리, 기초전자실습, 전자회로실습, 디지털회로실습, 전자기기실습, 무서통신기기실습, 마이크로프로세서실습, 제어기기실험, 센서회로실습, CAD실습 ... , 프로그래밍언어실습, 정보통신실습, 전자회로시뮬레이션, 디지털 논리회로설계실습(FPGA), VHDL)의 교과목을 가르치며 전자부품 및 제품 제조분야(컴퓨터, 영상기기, 음향기기 등), 산업
    리포트 | 6페이지 | 5,000원 | 등록일 2009.03.10
  • RS, D플립플롭,JK, T플립플롭
    한다. 클럭신호는 클럭펄스라고 말하기도 하며, CP또는 CK로 표시한다. 일반적으로 클럭의 유무는 f/f과 래치의 구분요쇼가 된다.논리회로는 출력이 정해지는 순간이 CP 의 어느 곳 ... 된 후 새로이 정해진 출력값으로 `다음 상태’에 해당된다. 논리회로에서 단순한 진리표는 클럭신호의 인가 전, 후의 출력관계를 나타내기 어렵다. 즉, 현재의 출력은 다음 출력을 결정 ... 1. 실험제목- 제 9장 RS 및 D 플립플럽 (Flip Flop)2. 실험날짜- 2007. 5. 33. 실험 목적- 플립플럽의 기본 개념을 이해하고 RS 및 D 플립플럽의 원리
    리포트 | 5페이지 | 1,000원 | 등록일 2007.11.06
  • 논리회로 디지털시계 보고서
    을 작성하였다.실험 결과에서 우리는 순차적으로 0부터 9까지 숫자가 진행하고 9이후에 0으로 돌아오도록 디스플레이하는 7-세그먼트를 만들 수 있었다.논리회로실험실 험 보 고 서- 10 조 -◆ 제 출 일 :성 명학 번학 부확 인 ... 에서는 GAL22V10을 이용한 실험을 하였다. 실험의 목적은 7-세그먼트에 GAL 22V10 한 개는 0부터 9까지의 수를 나타내는 논리를 구현하여 기록하는 것이었고 GAL 22 ... */Y+W*Y*/Z+W*/X*Z;▷ X=W*X*/Y+W*X*/Z+W*/X*Y*Z;▷ Y=W*/Y*Z+W*Y*/Z;▷ Z=W*Y*/Z+W*X*/Z;? 실 험 결 과? 고 찰이번 실험
    리포트 | 6페이지 | 2,000원 | 등록일 2008.06.08
  • 인코더와디코더(예비) - 예비 토의 有
    를 결선하여라.② 위의 실험결과를 표 8-4에 완성하여라.# 토의:인코더란 여러 입력 중 특정한 시간에 하나의 입력에만 반응하여 N비트 출력이 되는 것이다.이와 반대되는 개념으로 디코더라는 것이 있다. 이 인코더와 디코더는 작년 논리회로 시간에 꽤 헷갈려하던 ... 로 2²와 20를 나타내는 2개의 출력 단자에 “1”출력을 발생한다. 7을 입력하면 2²와 2¹와 20 등 3군데에 출력이 나온다. 다이오드메트릭스게이트나 논리회로의 조합으로 구성 ... × 2 인코더① 아래 그림과 같이 회로를 결선하여라.② 실험 결과에 있는 표 8-2에 입력 D0~D3에 대한 출력값 Y0~Y1을 조사하고 표를완성하여라.2) 2 × 4 디코더
    리포트 | 5페이지 | 1,000원 | 등록일 2007.05.01
  • QPSK (Quadrature Phase Shift Keying) 신호의 발생 실험
    ? 제목실험16 QPSK (Quadrature Phase Shift Keying) 신호의 발생 실험? 이론위상 편이 키잉이란 2원 디지털 입력신호의 정보 내용에 따라 반송파 ... 인하여 심볼 에러도 우수하다. 또 피변조파는 양측대파 신호이기 때문에, 타이밍 정보 및 주파수 정보를 포함하고 있어, 변?복조 회로가 비교적 간단하다는 잇점도 있다.(1) 2진 ... Reversal Keying)라고도 한다.수신측에서의 복조는 그림 2를 보면 알 수 있듯이 동기 검파방식을 이용하면 원신호를 복원할 수 있다.승산기반송파검출회로LPF2원 회로판정회로표본
    리포트 | 4페이지 | 1,500원 | 등록일 2008.03.09
  • [디지털논리회로] StopWatch verilog로 설계하기
    [디지털논리회로실험 기말프로젝트]Stopwatch VHDL로 만들기(due date:)1. Verilog HDL 소스 및 주석module StopWatch(led1, led2
    리포트 | 8페이지 | 2,000원 | 등록일 2008.12.07
  • 결과 리포트 - RS 및 D 플립플럽, JK 및 T 플립플럽
    결과리포트전자컴퓨터실험 1최신 논리 회로 실험실험 9. RS 및 D 플립플럽(Flip Flop)실험 10. JK 및 T 플립플럽(Flip Flop)실험 9. RS 및 D 플립플럽 ... 플립플럽(Flip Flop)*9?1 회로: 이 회로는 R, S입력에 따른 Q와 의 출력을 구해보는 RS플립플럽 실험이였다. 이 RS 플립플럽은 NAND게이트로 이루어져있 ... 는 R, S입력에 CP 입력을 준 R, S, CP의 입력에 따른 Q와 의 출력을 구해보는 RS?Latch 실험이었다. 이 회로는 기본 CP입력을 R과 S입력의 곱으로 주었고 이
    리포트 | 4페이지 | 1,500원 | 등록일 2007.06.16
  • 전기공학에 대하여
    과정은 공학도에게 필요한 기본원리인 공업수학, 디지털 이론을 학습한 후 회로이론, 전력시스템, 전기전자재료, 제어공학, 정보통신공학등 다양한 분야의 고급이론 고찰과 실험의 수행 ... 이론, 컴퓨터의 원리와 응용, 반도체공학, 전력시스템, 전력발생공학, 제어계측공학, 전기기기, 초고주파공학, 회로망이론, 전력전자공학 및 실험을 통하여 전기, 전자, 통신공학의 응용 ... 에 전기자기학 및 전기회로, 회로망이론, 전력공학 등 기초 전공 지식과 전력전산 및 전기기기·전기계측 과목을 필수적으로 이수한다. 또한 선택에 따라 컴퓨터를 이용한 정보처리 및 제어
    리포트 | 3페이지 | 1,000원 | 등록일 2008.07.25
  • LapVIEW를 이용한 DC모터 회전수 제어
    1. 실험 제목 : LapVIEW를 이용한 DC모터 회전수 제어2. 실험 목적이 실험의 목적은 학생들이 DC모터의 회전 수 측정원리를 이해하고, PC를 사용하여 데이터처리를 수행 ... 와 엔코더 출력부를 ELVIS장비에 연결 한 다음, LabVIEW 프로그램을 이용하여 DC모터 회전수를 제어한다.3. 실험 이론DC모터(Direct Current Motor)란?DC ... , 장치 간의 결합용량이 작기 때문에 출력 쪽의 신호가 입력 쪽으로 되돌아가는 일이 없는 등의 장점이 있다. 이 때문에, 전기회로와 단말기 등을 포토커플러를 매개해서 결합하면, 전원
    리포트 | 9페이지 | 1,500원 | 등록일 2009.01.01
  • 불대수와 논리식의 간략화
    +5V로, 0은 0V의 전압으로 표현된다.(3) 논리 게이트① 기본 논리 게이트논리 게이트 : 0 또는 1로 입력되는 2진 정보를 처리하는 논리 회로로서, 2개 이상의 입력 단자 ... 실 험 예 비 보 고 서실험 단원 및 제목불대수와 논리식의 간략화검사란1) 실험 목적논리식을 불대수로 표현하는 방법과 간략화 하는 방법에 대하여 학습한다.2) 준비 사항① CRO ... - 2개⑥ 저항 : 5.6k - 2개3) 관련 이론(1) 불대수 [Boolean algebra ] 기본정리두 가지의 2항 연산 ∩(논리곱)과 ∪(논리합)에 관하여 다음과 같이
    리포트 | 5페이지 | 1,500원 | 등록일 2009.07.11
  • [공학기술]결과 리포트 - opamp 특성, op Amp의 기본 선형증폭기 회로
    결과리포트전자 컴퓨터 실험 1최신 논리 회로 실험실험 21 . op Amp의 특성실험 22 . op Amp의 기본 선형증폭기 회로실험 21. op Amp의 특성1. 입력 off ... lew rate입력 구형파 5V (p-p), 10kHz?V5V?t0.1msslew rate = ?V ? ?t50 kV / s실험 22 . op Amp의 기본 선형증폭기 회로2. 반전 ... 전압은 출력 전압을 0으로 만들기 위해 입력단자 중에 하나에 가해지는 전압이므로, 이상적인 op Amp의 경우 출력 전압 off set은 0이 되어야한다.실험실에서 직접 회로를 구성
    리포트 | 6페이지 | 1,500원 | 등록일 2007.06.16
  • 기초전자회로실험/남춘우/2001년/실험2. 다이오드 특성 예비보고서.
    2009-1학기 전자회로실험 (전영식)수요일 18시실험2. 다이오드 특성사전보고서제출일전공전자공학과조4 조학번조원이름이름1. 실험목적실리콘과 게르마늄 다이오드의 특성을 익힌다.2 ... 하게 사용되고 있다.회로기호는 가 사용된다.기호의 의미는 (애노드)(캐소드)로 애노드측에서 캐소드측으로는 전류가 흐른다는 것을 나타내고 있다.다이오드 중에는 단지 순방향으로 전류 ... 가 흐르는 성질을 이용하는 것 이외에, 다음과 같은 용도의 것이 흔히 사용된다.정전압 다이오드(제너 다이오드): ?회로 기호는 ??역방향으로 전압을 가했을 경우에 어떤 전압에서 안정
    리포트 | 9페이지 | 1,000원 | 등록일 2009.05.30
  • 6주차 교과교재
    숫자(비트)를 덧셈하기 위해 사용되는 논리 회로의 일종. 반 덧셈기는 2개의 디지털 입력(비트)을 받고, 2개의 디지털 출력(비트)을 생성한다. 즉, 표와 같이 덧셈해야 할 2개 ... 가 하는 일을 학생이 하게 한다. 즉, 지식적으로 부족한 사람에게 직접 하게 하여 이해를 돕는 것이다.(3) 구성원리- 계열성 : 교육내용의 논리적 심리적 계열방법에 관심을 두어 ... 은 실험으로써 설명 할 수 있다.Sine 파형의 아날로그 신호를 적당히 나누지 못하였을 경우, 이와 같이 왜곡이 생기고, 알맞게 나누었을 경우 원래 Sine 파형을 거의 왜곡 없이
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.14
  • 물리전자공학 프로젝트 Design and analysis of long-channel nMOSFETs
    개형을 갖게 된다. Talyor 공식을 이용해서식을 보상하여 주면=의 식으로 도출되어지고, 기울기를 통해 linear하게 증가하는 것을 실험 결과값을 통해 증명해낼 수 있다.이상 ... 의 doping concentration은 각각 Nd=5.0e18/cm3, Na=3.0e16/cm3로 지정하였는데 물리적인 논리는 p형 기판의 도핑보다 n웰의 도핑농도가 훨씬 커야한다는 것이 ... 한 값으로 잡히지 않는다. 이는 회로 스펙 결정에서 불안정성을 가져오기 때문에 좋지않다. 그래서 linear한 gm을 가지게하기 위해 Vds값이 작은 0.1V로 설정하여주
    리포트 | 8페이지 | 2,000원 | 등록일 2013.02.25
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:13 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감