• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(206)
  • 리포트(166)
  • 시험자료(24)
  • 자기소개서(11)
  • 논문(3)
  • 서식(1)
  • 방송통신대(1)

"uml모델링" 검색결과 1-20 / 206건

  • 파워포인트파일 UML 비즈니스 모델링
    UML 비즈니스 모델링 비즈니스 모델링의 의의 IT 시스템 비즈니스 시스템(또는 체계)의 업무를 효율적으로 돕기 위해서 개발 조직이 수행하고 있는 현행 비즈니스 시스템을 반영해야 함 ... 원하는 기능을 하도록 구성 → 환경이 변화됐을 때 변화를 반영 → 서비스의 연결 구성을 변화시켜 새로운 기능을 제공 서비스를 식별하고 서비스들 간의 관계를 표현할 수 있는 표현법 UML과 ... UP에서는 비즈니스 모델링을 제시 * 비즈니스 모델링의 의의 비즈니스 모델링은 시스템 개발 노력을 투입하기 이전에 수행 비즈니스 모델로부터 소프트웨어 요구사항을 유도할 수 있음 비즈니스
    리포트 | 38페이지 | 4,000원 | 등록일 2012.09.14
  • 워드파일 UML을 이용한 PMSM 구동 시스템 모델링
    추후 시스템 개발 시 UML의 표현방법을 활용하여 시스템을 개발함으로써 개발 효율 증대 및 유지, 보수에 도움이 될 것으로 생각된다. ... Propose and Scope UML 기법을 이용하여 Permanent Magnet Synchronous Motor Vector Control (PmsmVc) System 을 Requirement ... MngDriver) / Activity Diagram (CheckCurrentFault) Conclusion Enterprise Architect 를 활용하여 PmsmVc 시스템을 UML
    리포트 | 5페이지 | 2,000원 | 등록일 2015.05.14
  • 파워포인트파일 전자사진관 UML 모델링
    전자사진관 개요 사진보정PC 비치된컴퓨터 카메라촬영 현상대기서버 현상기 고객 보관함 사진보정은 사진촬영이 선행되어야 한다 고객이 갖는 속성과 operation을 subclass인 비회원과 회원들이 상속받는다 회원은 비회원과는 달리 회원만의 속성과 operation이 있..
    리포트 | 9페이지 | 1,000원 | 등록일 2010.12.07
  • 워드파일 UML을 활용한 시스템 분석 설계 4장 기능적 모델링 연습문제 답안
    통일 1996년 (UML0.9 define) Jacobson의 모델링기법 추가 1997년 1월 : UML 1.0발표 (MS, Oracle, IBM, HP참여) 9월 : UML 1.1발표 ... 비즈니스 프로세스 모델링은 왜 중요하며 어떻게 모델링하는지 설명하라.(기능적 모델링에 한함.) ... 등을 통해 요구를 기능적 관점에서 모델링 한다.
    리포트 | 6페이지 | 2,500원 | 등록일 2014.04.09 | 수정일 2022.02.15
  • 한글파일 시스템분석 레포트.UML 모델링 순차.활동.유스케이스
    그리고 실습실에서 UML 프로그램을 이용해 직접 모델링 해보는 것도 도움이 많이 될 것 같습니다. ... 시스템분석가라는 직업에 대해 잘 몰랐는데 이 수업을 통해 직접 설계하고, 분석하며 모델링해봄으로써 시스템분석가에 대해서도 진로를 생각해보게 되었습니다.
    리포트 | 15페이지 | 3,000원 | 등록일 2010.06.20
  • 파워포인트파일 [모델링] 증명서 자동발급기 UML 분석
    1. Activity Diagram 초기화면 수수료투입 주민등록번호 입력 신상정보 확인 증명서 종류 선택 증명서 발급매수 입력 최종확인 인쇄 및 발급 불량 오류 취소 취소 취소 취소 투입 입력 확인 수수료재투입 주민등록번호 수정 확인 확인 확인 Kyung Hee Uni..
    리포트 | 25페이지 | 1,500원 | 등록일 2004.10.20
  • 한글파일 [소프트웨어공학] UML-기본행동모델링
    기본 행동 모델링 ( 2000.03.23. ) ※ Reference 1. UML 사용자 지침서 (단원 4) - 인터비젼 1999 2. ... http://www.uml.co.kr/ - UML Korea □ 목차 ·Use-case ·Use-case Diagram ·Interaction ·Interaction Diagram ... Professional VB UML - Jake Strum - Wrox, 1999 3.
    리포트 | 8페이지 | 1,000원 | 등록일 2002.11.09
  • 한글파일 소공기말 총정리
    UML (표기법 또는 언어)을 사용하여 요구사항을 유스케이스 다이어그램으로 모델링 분석 결과를 정적/동적 다이어그램으로 시스템을 모델링 소프트웨어 설계 구조를 정적/동적 다이어그램으로 ... 모델링 분석 결과로 모델링한 정적/동적 다이어그램을 설계 구조를rUML ? ... 5장 모델링 [배경] 소프트웨어 요구사항 분석과 설계에서 모델링 ? 모델: 실체의 특징을 표현한 것 ? 예) 주택의 건축 모델 뉴튼의 F=ma, 운동법칙의 수학 모델 ?
    시험자료 | 26페이지 | 2,000원 | 등록일 2023.06.18
  • 한글파일 조선대 소프트웨어공학 기말고사 정리
    : 객체지향 소프트웨어를 모델링 하는 표준 그래픽 언어 UML 다이어그램과 모델링: 사용 사례(use case)다이어그램, 클래스 다이어그램, 시퀀스 다이어그램, 상태 다이어그램, ... 액티비티 다이어그램, 패키지 다이어그램, 배치 다이어그램 UML의 V 프로세스 행위자 -> 블랙박스 분석 [유스케이스(기능 모델링) -> 유스케이스 시나리오(동적 모델링) -> 유스케이스 ... Rambaugh Method(가장 대표적), ER 다이어그램, Booch Method, Coad, yourdon Method, Jacobson Method, Wirfs-Broks Method UML
    시험자료 | 33페이지 | 4,000원 | 등록일 2023.12.19
  • 파일확장자 SMIL 기반의 기능성 교육용 게임 설계 및 구현
    본 논문에서는 SMIL을 기반으로 한 기능성 교육용 게임을 시간에 따라 멀티미디어 데이터파일을 명확하게 동기화 할 수 있도록 객체지향 기반의 시각화 도구인 UML을 이용하여 모델링하고 ... 즉, 본 논 문에서는 학습자의 수준에 따라 기능성 교육용 게임의 데이터파일을 눈높이에 맞게 설정, 조정할 수 있는 객체모델링 방법을 제안하고, 설계․구현하여 학습자의 학습의 효과를 ... based on SMIL according to the time so that it can synchronize multimedia data file accurately by using UML
    논문 | 9페이지 | 4,000원 | 등록일 2023.04.05
  • 한글파일 정보처리기사 1과목 필기 요점정리 입니다.
    - 동적 모델링 - 기능 모델링 행위 다이어그램=동적 모델링 유스케이스 다이어그램 (Use Case Diagram) -기능 모델링 작업에 사용 시퀀스 다이어그램 (Sequence ... ,요구사항 할당,협상, 정형 분석 요구사항 확인 기법 요구사항 검토,프로토타이핑,모델 검증,인수테스트 UML -시스템 개발과정에서 시스템 개발자와 고객 또는  개발자 상호 간의 의사소통의 ... 식별,이해 하는 단계로 의사소통이 중요 -인터뷰,설문,브레인스토밍 분석 -명확하지 않은 요구사항을 거르는 단계 명세 -문서화하는 단계 확인 요구사항 분석 기법 요구사항 분류,개념 모델링
    시험자료 | 4페이지 | 3,000원 | 등록일 2021.05.25
  • 파일확장자 부경대 임베디드시스템개론 지하유류저장탱크
    UML 사용 프로그램- UML: 요구분석, 시스템 설계, 시스템 구현 등의 시스템 개발 과정에서 개발자 간의 의사소통이 원활하 게 이루어지도록 표준화한 모델링 언어이다. ... 모델링에 대한 표현력이 강하고 비교적 모순이 적은 논리적 인 표기법을 가진 언어라는 장점이 있다. - StarUML: 빠르고 유연하게 확장 가능하며 풍부한 기능을 가진 UML(Unified ... Modeling Language)을 지원하는 소프트웨어 모델링 플랫폼이다.지하 유류저장 탱크 감시시스템지하 유류저장 탱크 감시시스템은 8개의 지하 탱크들의 각각의 온도를 읽고 가솔린양을
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.08
  • 한글파일 항공물류 리포트(UML)
    -비즈니스 단위 레벨에서 상위 레벨의 비즈니스 프로세스를 모델링 하거나 저 수준 내부 클래스 액션을 모델링할 때 사용된다. ... -시스템에 대한 요건을 이해하기 위한 목적 -Use Case View를 모델링 하는 다이어그램 -use case는 이후의 모든 시스템분석, 설계, 개발, 시험에 걸쳐 영향을 미침 -
    리포트 | 3페이지 | 2,000원 | 등록일 2021.02.18
  • 한글파일 경북대학교 일반대학원 소프트웨어학과 수학계획서
    진행계획 저는 경북대 대학원에서 직무분리와 데이터 채색에 기반한 MAC 모델 설계: DSDC-MAC 연구, 협동조합 수집·분석 프레임워크 개발을 위한 정형명세 및 메타모델 연구, UML을 ... 저는 또한 XL-BPMN 모델 기반 비즈니스 서비스 식별 기법 연구, SOA 기반 비즈니스 모델링과 소프트웨어 모델링을 위한 통합 설계 방법 연구, 구조 기반 BPMN 모델의 Feature ... 방법 연구, DSDC-MAC 모델의 구현 시스템 설계 및 정확성 검사 연구, 서비스 지향 클라우드 모델링 방법 및 프로세스 연구 등에 관심이 있습니다. 2.
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.08.20
  • 한글파일 2024년 정보처리기사 실기 준비를 위한 기출문제 정리1 (~23년)
    답) 비기능적 문) UML중 공통의 속성, 연산, 관계, 의미를 공유하는 객체들의 집합은? 답) 클래스 문) 데이터 모델링 절차는? ... 답) 요구 사항 분석 -> 개념적 데이터 모델링 -> 논리적 데이터 모델링 -> 물리적 데이터 모델링 문) 인수 테스트 중 베타 테스트는 무엇인가? ... 답) Observer Pattern 문) 사용자 인터d) 문) UML의 구성요소 중 사물의 의미를 확장, 명확히 하는 요소로 사물과 사물을 연결 표현 답) 관계 문) ( ) 요구사항은
    시험자료 | 8페이지 | 2,500원 | 등록일 2023.03.27 | 수정일 2023.11.24
  • 파일확장자 컴활 1급 요약 최종
    : 多 모델링 언어 표준화▪ XML : 웹에서 구조화된 多 문서 상호 교환 (유연성) 새로운 태그, 속성 정의 문서의 내용과 표현방식이 독립적▪ VRML : 3차원 가상공간 표현, ... 부호기 : 해독 명령을 장치로 보낼 제어 신호 생성웹 프로그래밍 언어▪ CSS : ct측의 웹 브라우저에 의해 해석, 적용▪ css : 마크업 언어가 실제 표시될 모습을 꾸밈▪ UML
    시험자료 | 13페이지 | 2,000원 | 등록일 2022.01.13
  • 한글파일 과제 점수 만점!!) 모바일 시스템 앱 개발 과정에 필요한 개발 프로세스
    예를 들면 모델링 언어는 UML, 개발 언어는 C언어, 운영체제는 IOS, 안드로이드 둘 다 사용할 수 있어야 한다면 이는 비기능적 요구 사항이 된다. 5.
    리포트 | 4페이지 | 3,000원 | 등록일 2023.03.07
  • 한글파일 소프트웨어공학 ) 교재(2장, 9장)에서 설명되지 않은 SW 프로세스 모델 중 하나를 관련 문헌이나 인터넷 상의 자료를 찾아 조사하여 알기 쉽게 정리하라. 할인자료
    통합 프로세스 모델 Object Management Group이 UML과 함께 통합하여 제시한 프로세스 모델이다. ... 프로토 타입 도출 - User의 요구사항과 실현가능한 범위의 명확화 - 액터와 유스케이스 도출 - 반복적인 프로세스의 기간 계획, 프로세스 평가의 수행 구체화 elaboration 모델링
    방송통신대 | 5페이지 | 5,000원 (5%↓) 4750원 | 등록일 2021.07.26
  • 한글파일 모바일 시스템 앱을 개발하고자 한다. 개발하고 싶은 분야를 선정하고, 지시사항을 참조하여 개발 프로세스에 필요한 내용을 정리해서 제출하세요.
    예를 들어 모델링 언어는 UML을 사용해야하고 개발 언어는 JAVA, 운영체제는 Linux에서 작동해야 하는 제약사항이 있다고 한다면 이 것들은 비기능적 요구사항으로 볼 수 있다.
    리포트 | 4페이지 | 3,000원 | 등록일 2023.01.06
  • 워드파일 정보처리기사 필기준비 2020년 버전
    1과목 소프트웨어 설계 폭포수모형 , 나선형 모형 , 애자일 모형 (반복, 스크럼, xp, 칸반, 크리스탈, asd) XP – 의사소통 단순성 용기 존중 피드백 UML- 객체지향 모델링 ... 스테레오 타입(Stereotype)의 개념 - UML 의 기본적 요소 이외의 새로운 요소를 만들어 내기 위한 확장 매커니즘. - 형태는 기존의 UML 의 요소를 그대로 사용하나 내부의미는 ... 정보를 표현하는 클래스 - : 시스템과 외부와의 경계에 걸쳐 있는 클래스, 시스템 주변 환경과 시스템 내부간의 커뮤니케이션을 담당 - : 어떤 특정 객체에 연관되지 않은 기능을 모델링
    시험자료 | 14페이지 | 2,000원 | 등록일 2020.11.29 | 수정일 2020.12.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업