• AI글쓰기 2.1 업데이트
  • 통합검색(1,071)
  • 리포트(1,014)
  • 시험자료(26)
  • 자기소개서(22)
  • 방송통신대(5)
  • 논문(4)
판매자 표지는 다운로드시 포함되지 않습니다.

"10진카운터" 검색결과 1-20 / 1,071건

  • 10진 업 카운터에 대하여 정리
    2310진 업 카운터10진 업 카운터요 약본 보고서에서는 최저의 저가로 주변에서 흔히 볼 수 있는 디지털 시계의 일부분인 10카운터를 설계해본다. 최저의 저가로 구입한 실험 ... 카운터이다. 카운터란 일정한 수의 펄스 입력을 주어 한 개의 출력 펄스를 만드는 것을 말한다. 그런데 이 실험에서 쓰이는 카운터10카운터에 해당한다. 10카운터란 4비트 ... 이상의 기억 소자로 구성되며 대부분 BCD 코드(2진화 10진 코드)가 사용되며, 10개씩의 입력으로 처음의 상태로 되돌아가는 계수기를 말한다. 10카운터에서 사용하는 타이머
    Non-Ai HUMAN
    | 논문 | 6페이지 | 3,000원 | 등록일 2015.05.25
  • 10진카운터
    를 구현. 3번째 시도 만에 정상 작동 확인. 앞서 두 번의 회로 구현에서 실패한 이유로는 회로도의 잘못된 이해, 구현 중 실수 등이 있을 것. 4.8V의 전원을 인가하여 10진 ... ◎ 실험 결과R_{ 1}=1.0005k OMEGA,R_{ 2}=0.9946k OMEGAC_{ 1}=10 muF,C_{ 2}=0.1 muF·분석납땜을 하기에 앞서 브레드보드에 회로
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 2,000원 | 등록일 2015.05.10
  • 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    및 설명출력 결과10카운터 (출력 값 0~9)-------------------------------p.6이론적 설명코드 및 설명출력 결과5-6-7 반복 3진 카운터------ ... 16개로 사용하는 진수이다.코드 및 설명출력 결과10카운터 (출력 값 0~9)이론적 설명앞의 16진수 카운터의 경우에는 vector(3 downto 0) 이 이미 16진수 ... 를 나타내기 때문에 다른 코드를 작성하지 않아도 16진수 카운터로서 동작을 했음을 보았다. 10카운터의 경우는 vector(3 downto 0)으로 선언한 뒤에 코드를 추가로 작성
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • 10진카운터회로
    2월/3주10진카운터회로-회로도2월/3주10진카운터회로-부품배치도품 명규 격수량품 명규 격수량품 명규 격수량품 명규 격수량TTL ICNE5551IC 소켓14PIN2가변저항VR1 ... 진카운터회로-조립 패턴도-------------------------------------------------------------------------------------2월/3주10진카운터회로-조립 패턴도 ... ㏁1FND5071SN749018PIN1전해콘덴서10㎌1만능기판28X281SN74471저항(4/1W)330Ω1마일러콘덴서0.1㎌1IC 소켓16PIN11㏀1스위치PB SW12월/3주10
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 1,000원 | 등록일 2013.10.04
  • 판매자 표지 자료 표지
    10카운터
    10카운터◎결론? 이번 실험은 10카운터 회로를 구성하여 스위치를 눌렀을 때 FND507 7세그먼트 소자에 0부터9까지의 숫자가 차례로 출력 되도록 하는 것이었다. 주어진 ... 회로는 NE555로 이루어진 비안정 M/V 회로의 펄스 발생에 의해, SN7490의 BCD 10카운터에서 10카운터 된 출력을 SN7447의 2진 세그먼트 디코더 드라이버
    Non-Ai HUMAN
    | 리포트 | 1페이지 | 1,000원 | 등록일 2012.01.23
  • VHDL 플리플롭, 16진 카운터, 10카운터
    (F/F, 카운터)차 례SR F/FGATED S/R F/FD F/FJK F/F16진 카운터10카운터5-6-7반복 3진 카운터2-13반복 12진 카운터1. SR F/F- set ... 고 출력이 0임을 알 수있다.6. 10카운터VHDL 작성RTL VIEWERFunction 결과해석위의 16진 카운터와 대체로 비슷하나 sig_snt가 9일 때 다시 0의 값을 주 ... 일 때 JK f/f은 Toggle(반전)을 실행한다. 320~400ns 사이 CLK에서 Toggle이 되는 것을 확인할 수 있다.5. 16진 카운터VHDL 작성RTL
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 2,500원 | 등록일 2015.05.30
  • VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 및 구현
    했다.(2) 10카운터file-new-VHDL file을 열어 다음과 같이 10카운터로 동작할 수 있도록 코드를 짰다. 10카운터 역시 If문과 process문을 이용 ... 는 S에서 이벤트가 발생할 때 참을 반환 하는 것으로 clk ‘event and clk=’1’ 로 rising edge를 표현했다.10카운터 이기 때문에 클락이 9가 되면 초기 ... 화 할 수 있게 짰다.RTL viewer를 통해 10카운터가 다음과 같이 설계되었음을 확인한다.LED3부터 차례대로2 ^{0},2 ^{1},2 ^{2},2 ^{3}이다. key
    Non-Ai HUMAN
    | 리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • 10카운터
    1. 명제10카운터용 IC 7490, 7447 BCD 디코더 & driver, FND 507 7-Segment를 이용하여 10카운터를 설계하고, 계수결과를 눈으로 확인 ... 과 단자의 기능을 이해한다.② 7490을 이용한 10카운터의 구성방법 및 동작을 이해한다.3. 설계순서① IC 사양 조사② 회로구성③ 회로 동작확인④ 디버깅4. 사양1 ... > 7490 십진 카운터이 소자는 한 패키지 내에 2분주와 5분주 카운터가 있다. 이들은 각기 사용할 수도 있고 연결하여 10카운터로 사용할 수도 있다. 10분주로 사용할 경우 2분주
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 2,000원 | 등록일 2010.05.29 | 수정일 2020.12.14
  • 10카운터를 이용한 100진 카운터 결과보고서
    결과 보고서(10카운터를 이용한 100진 카운터)과 목하이브리드 설계교 수 님이영훈 교수님조10조이 름박상웅, 허성원학 번20080811, 20080853제 출 일13. 03 ... . 참고 문헌 ------------------------- 8page1. 명제10카운터를 이용한 100진 카운터를 설계하라. 단, 함수발생기를 사용하지 않고, 트리거발생회로 ... Counters이 소자는 한 패키지(Package) 내에 2분주와 5분주 카운터가 있다. 이들은 각기 사용할 수도 있고 연결하여 10카운터로 사용할 수도 있다. 10분주로 사용할 경우 2
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 3,000원 | 등록일 2013.07.01
  • 10진카운터
    [실험3] 10카운터 제작5. 실험 및 실험결과도면을 참조하여 회로를 구성하라.Function Generator를 이용하여 1Hz TTL 신호를 발생시킨다.발생시킨 TTL ... 을 직접 받는 74LS90에 대해서 살펴보자. 74LS90은 사각파의 신호를 받으면 이를 10진법(10가지 종류의 신호를 반복)으로 출력한다. 0∼9까지 숫자가 10개 이기 때문 ... 에 이러한 디지털 IC가 사용된 것이다.74LS90에서 출력된 신호는 다음 디지털 IC인 74LS47에 입력된다. 74LS47은 10진법의 신호를 7segment에 각각 0∼9
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 2,000원 | 등록일 2009.07.14
  • 10카운터설계
    1. Source Programlibrary ieee; -- 사용할 library들을 선언한다.use ieee.std_logic_1164.all; -- IEEE표준 library를 사용. use ieee.std_logic_unsigned.all; --Count를 위해 ..
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    . BCD Counter2. Gray Code Counter3. 10/16 Dual Counter4. Crosswalk Controller 횡단보도 제어기5. ADC Controller6 ... signal로 정의하였다.(총 10까지 상태)18줄 : process 구문에서 상태 천이 조건을 만들었다. (클락이 들어가면 다음조건으로 넘어가는 동기 시스템이다.)process 밖 ... 다. (else같은 것)50~60줄 : 4비트로 16가지 경우의 수 중에 10개만 쓰고 나머지는 정의를 안했으므로 others이다.Case를 사용한 BCD_counter의 타이밍 시뮬레이션
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • N진카운터10진카운터
    N진 카운터10카운터카운터대부분의 디지털 시스템에 내장되어 있는 카운터는 여러 종류가 있으면, 특정한 상태의 발생, 일정한 시간의 측정 및 일련의 동작을 수행하기 위한 ... .카운터 분류클록 펄스 인가방식 계수방식 완전독립분리가 아니라 서로 인용하는 관계 2ⁿ 진 카운터가 될 수도 있고 N진 카운터가 될 수도 있다.카운터(클럭인가 방식에 따른 분류)비동기식 ... 는 10개의 BCD 코드 값(0000, 0001, 0010, ... , 1000, 1001)을 상태 값으로 가지는 카운터 십진 카운터(decade counter)라고도 한다BCD
    Non-Ai HUMAN
    | 리포트 | 14페이지 | 1,500원 | 등록일 2008.12.11
  • 7490 10카운터용 IC를 이용하여 100진 카운터를 설계
    1. 개요 : 7490 10카운터용 IC를 이용하여 100진 카운터를 설계할 수 있고 이 출력값을 FND507을 이용하여 출력할 수 있다.2. 목적 : 카운터 IC 7490 ... 의 동작 원리를 정확한 파악하고 FND507을 통하여 출력되는 원리를 정확히 이해하는데 그 목적을 둔다. 10카운터의 설계를 응용하여 100진 업 카운터를 설계해보고, 두 카운터 ... IC 7490의 리셋방법에 대하여 명확한 이해를 돕는다.3. 제작 동기 : 10카운터 IC가 실생활에 많이 활용되고 있는 것을 흔히 발견 할 수 있다. 이것을 응용하여 100
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • [VHDL] 동기식 10카운터
    실험?실습 보고서실험조: 8조조원 : 최문희, 최윤정0. 제 목동기식 10카운터1. 목 적VHDL을 이용하여 동기식 10카운터 회로를 구현함으로써 순차회로에 대한 VHDL ... 식 10카운터 Function tableCLRn LDnMode0 X1 01 1Asynchronous Clear : Q ... 설계 방법을 익히고 카운터 회로의 동작을 이해한다.2. 기초지식(회로도)3. 설비 및 준비사항MAX+PLUS II 사용법HBE?COMBO 트레이닝 키트의 사용법4. 실험 결과동기
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2010.11.23
  • vhdl를 이용한 10카운터 설계
    (RST, CLK)0부터 9까지의 10진수를 셀 수 있는 10진 카운트를 설계해 보았습니다. 입력으로 RST와 CLK를 사용하였고 출력으로는 4비트 2진수를 받을 수 있는 CNT_OUT ... 을 Vector로 선언하여 사용하였습니다. 시뮬레이션은 Test Bench를 설계하여 이용하였고, 모델심을 이용하여 10진 카운트로써 올바르게 동작하고 있는지 확인해 보 ... Library IEEE;use ieee.std_logic_1164.all;use ieee.std_logic_unsigned.all;entity count_10 isport
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2010.06.11
  • 10카운터 제작 결과레포트
    [실험3] 10카운터 제작1. 목적디지털 칩의 가장 기본이 되는 74시리즈를 다루어 봄으로서 디지털 이론을 공부해 본다. 실험에서는 7세그먼트를 구동하기위한 10카운터 ... 은 10진카운터로 0부터 9까지의 숫자를 카운터하는 회로였다.사실 이 7segment 표기법은 실제 우리생활에서 많이 접해볼수있는 표시였다.전자시계나 엘리베이터에서라든지 흔히 접해볼수있 ... 는 작동이었다.먼저 이번에 사용한 IC는 74LS90과 74LS47 두가지 이다. 74LS90은 사각파의 신호를 받으면 이를 10진법(10가지 종류의 신호를 반복)으로 출력
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,500원 | 등록일 2010.03.16 | 수정일 2016.11.04
  • [예비레포트] 10카운터 제작
    2*5=10카운터(decade counter) 가 되는것이다.countoutputQ3(11핀)Q2(8핀)Q1(9핀)Q0(12핀)000 ... 에 활용되고 있다. (TC40H, TC74HC 시리즈)▣ 74LS90의 기능74LS90은 2진카운터와 5진카운터가 각각 독립적으로 동작되는 회로가 한 패캐지 안에 들어있다. 그래서 ... *************0011401005010160110701118100091001[그림 2-1] 74LS9014핀으로 2진 카운터의 입력이 들어가면, 출력이 12번핀(Q0)으로 나오게 되고, 12번핀 출력신호의 일부
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2009.03.08
  • 74LS93 4-비트 비동기 10카운터
    74LS93 4-비트 비동기 10카운터1. 이론적 배경74LS93 4-비트 비동기 10카운터10카운터카운터 중에서 가장 많이 사용되는 것으로서, 10을 모듈러스 ... (Modulus)로 하는 Modulus 10 카운터이다. 10개의 상태를 갖고, 바뀌기 때문에 BCD 10카운터라고도 한다.4개의 플림플롭을 직렬로 연결하면 일반적으로 16가지 ... 의 출력상태가 생기게 되는데, 10카운터는 여기서 귀환(Feedback)을 가함으로써 출력상태만이 반복되도록 한 것이다.비동기식 10카운터 회로에서(뒷장의 회로도 참조) 10
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2013.04.21
  • [Flowrian] 10카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    10카운터 회로는 0~9 범위를 카운팅 하는 카운터를 의미한다.10카운터는 0~15 를 셀 수 있는 4 비트 이진 카운터를 변형하여 0~9 범위만 카운팅하도록 설계 ... 한다. 10카운터 회로의 동작은 Verilog 언어가 제공하는 2가지 방식, Behavior와 Structure 관점에서 논리동작을 모델링한다.설계는 Verilog 언어를 이용
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,000원 | 등록일 2011.11.05
  • 전문가요청 배너
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 02일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:10 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감