• 캠퍼스북
  • LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,096)
  • 리포트(1,042)
  • 자기소개서(24)
  • 시험자료(23)
  • 논문(4)
  • 방송통신대(3)

"10진 카운터" 검색결과 1-20 / 1,096건

  • 워드파일 10, 16진 카운터 설계 vhdl 5-6-7카운터 포함
    여태까지 카운터는 2진카운터, 10진 카운터, 16진 카운터만을 알고 있었는데 코드를 작성함에 따라 원하는 값 만을 출력할 수 있도록 작성할 수 있음을 알 수 있었다. ... 코드 및 설명 출력 결과 16진 카운터 (출력 값 0~15)------------------------------p.4 이론적 설명 코드 및 설명 출력 결과 10진 카운터 (출력 값 ... 작성하지 않아도 16진수 카운터로서 동작을 했음을 보았다. 10진 카운터의 경우는 vector(3 downto 0)으로 선언한 뒤에 코드를 추가로 작성하여 값이 9가 된다면 다시
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.05
  • 한글파일 HDL및실습_State Machine상태머신 이해하기_횡단보도제어기_BCD_GRAY_10진_16진 카운터 설계하기
    (총 10까지 상태) 18줄 : process 구문에서 상태 천이 조건을 만들었다. ... (else같은 것) 50~60줄 : 4비트로 16가지 경우의 수 중에 10개만 쓰고 나머지는 정의를 안했으므로 others이다. ... Gray Code Counter 3. 10/16 Dual Counter 4. Crosswalk Controller 횡단보도 제어기 5. ADC Controller 6.
    리포트 | 13페이지 | 3,000원 | 등록일 2020.07.05
  • 한글파일 10진카운터에 대하여 정리
    2 3 10진카운터 10진카운터 요 약 본 보고서에서는 최저의 저가로 주변에서 흔히 볼 수 있는 디지털 시계의 일부분인 10진 카운터를 설계해본다. ... 그런데 이 실험에서 쓰이는 카운터10진 카운터에 해당한다. 10진 카운터란 4비트 이상의 기억 소자로 구성되며 대부분 BCD 코드(2진화 10진 코드)가 사용되며, 10개씩의 입력으로 ... 실험 결과 7490 내부에는 2진 카운터 및 5진 카운터가 내장되어 있고 이것이 1번과 12번이 직렬로 연결 되어짐으로써 10진 카운터로 동작하는 것을 알게 되었고 RESET 단자인
    논문 | 6페이지 | 3,000원 | 등록일 2015.05.25
  • 한글파일 10진카운터
    IC7490은 2진카운터와 5진카운터를 갖고있음. 2진 카운터의 output인 1번핀과 5진카운터의 input인 12번핀을 연결. 10진카운터를 만듦. ... 이렇게 입력을 받아 BCD코드(이진수)로 IC7447로 0부터 9까지 10진카운터 작동. IC7447에서 FND로 숫자가 나타나게 만듦. ... 앞서 두 번의 회로 구현에서 실패한 이유로는 회로도의 잘못된 이해, 구현 중 실수 등이 있을 것. 4.8V의 전원을 인가하여 10진 카운트 정상 가동.
    리포트 | 2페이지 | 2,000원 | 등록일 2015.05.10
  • 한글파일 10진카운터회로
    2월/3주 10진카운터회로-회로도 2월/3주 10진카운터회로-부품배치도 품 명 규 격 수량 품 명 규 격 수량 품 명 규 격 수량 품 명 규 격 수량 TTL IC NE555 1 IC ... --------------------------------------------- 2월/3주 10진카운터회로-조립 패턴도 ... 0.1㎌ 1 IC 소켓 16PIN 1 1㏀ 1 스위치 PB SW 1 2월/3주 10진카운터회로-조립 패턴도 ----------------------------------------
    리포트 | 2페이지 | 1,000원 | 등록일 2013.10.04
  • 한글파일 10진 카운터
    주어진 회로는 NE555로 이루어진 비안정 M/V 회로의 펄스 발생에 의해, SN7490의 BCD 10진 카운터에서 10진 카운터 된 출력을 SN7447의 2진 세그먼트 디코더 드라이버 ... 10진 카운터 ◎결론 ? 이번 실험은 10진 카운터 회로를 구성하여 스위치를 눌렀을 때 FND507 7세그먼트 소자에 0부터9까지의 숫자가 차례로 출력 되도록 하는 것이었다.
    리포트 | 1페이지 | 1,000원 | 등록일 2012.01.23
  • 한글파일 VHDL 플리플롭, 16진 카운터, 10진 카운터
    (F/F, 카운터) 차 례 SR F/F GATED S/R F/F D F/F JK F/F 16진 카운터 10진 카운터 5-6-7반복 3진 카운터 2-13반복 12진 카운터 1. ... Rst가 0이면 어떤 값의 영향도 받지않고 출력이 0임을 알 수있다. 6. 10진 카운터 VHDL 작성 RTL VIEWER Function 결과 해석위의 16진 카운터와 대체로 비슷하나 ... 또한 nRst=0, sig_cnt=7이 되면 sig_cnt가 5로 바뀌게 되어 5-6-7만을 세는 카운터가 완성되었다. 8. 2~13반복 12진 카운터 VHDL 작성 RTL VIEWER
    리포트 | 11페이지 | 2,500원 | 등록일 2015.05.30
  • 한글파일 VHDL실습 16진, 10진, 3진(5-6-7), 12진(2-13)카운터 설계 및 구현
    카운터 file-new-VHDL file을 열어 다음과 같이 10진 카운터로 동작할 수 있도록 코드를 짰다. 10진 카운터 역시 If문과 process문을 이용하여 짰다. 16진 ... RTL viewer를 통해 10진 카운터가 다음과 같이 설계되었음을 확인한다. ... S’EVENT는 S에서 이벤트가 발생할 때 참을 반환 하는 것으로 clk ‘event and clk=’1’ 로 rising edge를 표현했다. 10진 카운터 이기 때문에 클락이 9가
    리포트 | 17페이지 | 2,000원 | 등록일 2019.04.20
  • 한글파일 10진 카운터
    명제 10진 카운터용 IC 7490, 7447 BCD 디코더 & driver, FND 507 7-Segment를 이용하여 10진 카운터를 설계하고, 계수결과를 눈으로 확인할 수 있도록 ... 이들은 각기 사용할 수도 있고 연결하여 10진 카운터로 사용할 수도 있다. 10분주로 사용할 경우 2분주 카운터의 출력 을 5분주 카운터의 CLK2로 연결시키고 계수용 입력 펄스는 ... 목적 ① 7490, 7447, FND 507의 사용법과 단자의 기능을 이해한다. ② 7490을 이용한 10진 카운터의 구성방법 및 동작을 이해한다. 3.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.05.29 | 수정일 2020.12.14
  • 한글파일 10진 카운터를 이용한 100진 카운터 결과보고서
    결과 보고서 (10진 카운터를 이용한 100진 카운터) 과 목 하이브리드 설계 교 수 님 이영훈 교수님 조 10조 이 름 박상웅, 허성원 학 번 20080811, 20080853 제 ... 이들은 각기 사용할 수도 있고 연결하여 10진 카운터로 사용할 수도 있다. 10분주로 사용할 경우 2분주 카운터의 출력 ? ... 명제 10진 카운터를 이용한 100진 카운터를 설계하라. 단, 함수발생기를 사용하지 않고, 트리거발생회로를 이용하여 설계하라. 2.
    리포트 | 10페이지 | 3,000원 | 등록일 2013.07.01
  • 워드파일 10진카운터
    [실험3] 10진 카운터 제작 5. 실험 및 실험결과 도면을 참조하여 회로를 구성하라. Function Generator를 이용하여 1Hz TTL 신호를 발생시킨다. ... 먼저 입력 값을 직접 받는 74LS90에 대해서 살펴보자. 74LS90은 사각파의 신호를 받으면 이를 10진법(10가지 종류의 신호를 반복)으로 출력한다. 0∼9까지 숫자가 10개 ... 이기 때문에 이러한 디지털 IC가 사용된 것이다. 74LS90에서 출력된 신호는 다음 디지털 IC인 74LS47에 입력된다. 74LS47은 10진법의 신호를 7segment에 각각
    리포트 | 2페이지 | 2,000원 | 등록일 2009.07.14
  • 한글파일 10진 카운터설계
    1. Source Programlibrary ieee; -- 사용할 library들을 선언한다.use ieee.std_logic_1164.all; -- IEEE표준 library를 사용. use ieee.std_logic_unsigned.all; --Count를 위해 ..
    리포트 | 4페이지 | 3,000원 | 등록일 2011.01.09
  • 파워포인트파일 N진카운터10진카운터
    카운터 분류 클록 펄스 인가방식 계수방식 완전독립분리가 아니라 서로 인용하는 관계 2ⁿ 진 카운터가 될 수도 있고 N진 카운터가 될 수도 있다. ... N진 카운터10진 카운터 카운터 대부분의 디지털 시스템에 내장되어 있는 카운터는 여러 종류가 있으면, 특정한 상태의 발생, 일정한 시간의 측정 및 일련의 동작을 수행하기 위한 ... 비동기식 BCD 카운터 BCD 카운터10개의 BCD 코드 값(0000, 0001, 0010, ... , 1000, 1001)을 상태 값으로 가지는 카운터 십진 카운터(decade
    리포트 | 14페이지 | 1,500원 | 등록일 2008.12.11
  • 파일확장자 7490 10진 카운터용 IC를 이용하여 100진 카운터를 설계
    개요 : 7490 10진 카운터용 IC를 이용하여 100진 카운터를 설계할 수 있고 이 출력값을 FND507을 이용하여 출력할 수 있다.2. ... 목적 : 카운터 IC 7490의 동작 원리를 정확한 파악하고 FND507을 통하여 출력되는 원리를 정확히 이해하는데 그 목적을 둔다. 10진 카운터의 설계를 응용하여 100진 업 카운터를 ... 제작 동기 : 10진 카운터 IC가 실생활에 많이 활용되고 있는 것을 흔히 발견 할 수 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • 한글파일 [VHDL] 동기식 10진 카운터
    제 목 동기식 10진 카운터 1. ... 목 적 VHDL을 이용하여 동기식 10진 카운터 회로를 구현함으로써 순차회로에 대한 VHDL 설계 방법을 익히고 카운터 회로의 동작을 이해한다. 2. 기초지식(회로도) 3. ... 실험 결과 동기식 10진 카운터 Function table CLRn LDn Mode 0 X 1 0 1 1 Asynchronous Clear : Q
    리포트 | 5페이지 | 1,000원 | 등록일 2010.11.23
  • 한글파일 vhdl를 이용한 10진 카운터 설계
    결론 0부터 9까지의 10진수를 셀 수 있는 10진 카운트를 설계해 보았습니다. ... 시뮬레이션은 Test Bench를 설계하여 이용하였고, 모델심을 이용하여 10진 카운트로써 올바르게 동작하고 있는지 확인해 보았습니다. ... is end tb_count_10; architecture TB of tb_count_10 is component count_10 port( RST : in std_logic; CLK
    리포트 | 5페이지 | 1,000원 | 등록일 2010.06.11
  • 한글파일 10진 카운터 제작 결과레포트
    [실험3] 10진 카운터 제작 1. 목적 디지털 칩의 가장 기본이 되는 74시리즈를 다루어 봄으로서 디지털 이론을 공부해 본다. ... 제작한 실험은 10진카운터로 0부터 9까지의 숫자를 카운터하는 회로였다. 사실 이 7segment 표기법은 실제 우리생활에서 많이 접해볼수있는 표시였다. ... 실험에서는 7세그먼트를 구동하기위한 10진 카운터 74LS90 그리고 2진수를 입력 받아 7세그먼트를 구동 시켜주는 74LS47를 사용하여 세그먼트 LED를 구동해본다. 2.예비레포트
    리포트 | 3페이지 | 1,500원 | 등록일 2010.03.16 | 수정일 2016.11.04
  • 한글파일 [예비레포트] 10진 카운터 제작
    그래서 2*5=10진 카운터(decade counter) 가 되는것이다. count output Q3 (11핀) Q2 (8핀) Q1 (9핀) Q0 (12핀) 0 0 0 0 0 1 0 ... 카운터의 입력이 들어가면, 출력이 12번핀(Q0)으로 나오게 되고, 12번핀 출력신호의 일부는 5진 카운터로 입력되므로, 신호가 1번핀(5진 카운터의 입력)으로 들어가게 된다. ... (TC40H, TC74HC 시리즈) ▣ 74LS90의 기능 74LS90은 2진카운터와 5진카운터가 각각 독립적으로 동작되는 회로가 한 패캐지 안에 들어있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2009.03.08
  • 한글파일 74LS93 4-비트 비동기 10진 카운터
    이론적 배경 74LS93 4-비트 비동기 10진 카운터 10진 카운터카운터 중에서 가장 많이 사용되는 것으로서, 10을 모듈러스(Modulus)로 하는 Modulus 10 카운터이다 ... 74LS93 4-비트 비동기 10진 카운터 1. ... 1 0 1 0 10 0 0 0 0 11 0 0 0 1 ① 비동기식 10진 카운터 계수 동작표 ② 비동기식 10진 카운터 타이밍도 6.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.04.21
  • 파일확장자 [Flowrian] 10진 카운터 회로의 Verilog 설계 및 시뮬레이션 검증
    10진 카운터 회로는 0~9 범위를 카운팅 하는 카운터를 의미한다. 10진 카운터는 0~15 를 셀 수 있는 4 비트 이진 카운터를 변형하여 0~9 범위만 카운팅하도록 설계한다 ... . 10진 카운터 회로의 동작은 Verilog 언어가 제공하는 2가지 방식, Behavior와 Structure 관점에서 논리동작을 모델링한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2011.11.05
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업