• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(68)
  • 리포트(66)
  • 자기소개서(1)
  • 시험자료(1)

"세븐세그먼트" 검색결과 1-20 / 68건

  • 워드파일 BCD code, 세븐 세그먼트에 대한 이론 및 회로
    실험 제목 BCD code, 세븐 세그먼트에 대한 이론 및 회로 2. ... 세그먼트가 켜지고, Cathode형은 High일 때 세그먼트가 켜진다. ... 가운데 가로 획까지 각각 a부터 g까지의 이름으로 붙힌다. 7세그먼트를 제어할 때 MCU의 GPIO를 바로 7세그먼트에 연결을 하면 최소 8개의 GPIO가 필요하다.
    리포트 | 5페이지 | 2,500원 | 등록일 2023.06.22
  • 한글파일 세븐세그먼트 디코더
    세그먼트를 ON하는 데 필요한 AC전압은 세그먼트와 모든 세그먼트에 공통인 배경 판 사이에 인가된다. ... 세그먼트 디코딩 논리 각 세그먼트는 여러 개의 10진 숫자를 표시하는데 사용되지만, 10개의 숫자 모두에 사용되는 세그먼트는 없다. ... LED 디스플레이 그림 7-세그먼트 LED 디스플레이 그림 3은 흔히 쓰이는 7-세그먼트 디스플레이를 보인 것으로 각 세그먼트는 전류가 흐를 때 빛을 발하는 LED로 되어있다.
    리포트 | 4페이지 | 1,500원 | 등록일 2010.06.18
  • 한글파일 세븐 세그먼트의 정의와 특성
    세븐 세그먼트의 정의와 특성 1. ... 특성 세븐세그먼트의 고유한 특징 때문에 몇가지 특성이 있는데, 그중 하나가 A~G까지 각각의 세그먼트들은 모두 개별적으로 연결되어 있으며, 개별적으로 저항이 연결되어 있는점이다. ... 정의 다양한 숫자의 모양을 표현할 수 있도록 LED를 숫자 형태로 배열하고 원하는 LED만을 점등하여 숫자를 표현하게끔 만든 재미있는 소자를 세븐 세그먼트(또는 FND라고 표현)라고
    리포트 | 2페이지 | 1,000원 | 등록일 2009.04.29
  • 파워포인트파일 세븐세그먼트를이용한 디지털락
    Digital lock 실험 최종 발표 목차 실험 목적 소자 및 재료 회로도 회로구동 원리 P-spice 결과 실험 제작 과정 결론 및 도출 실험 목적 D-FF과 기본 논리소자(and, or, not)의 응용. 회로도 및 회로 구동원리에 대한 이해. 실생활에 응용 되는..
    리포트 | 17페이지 | 1,000원 | 등록일 2010.06.18
  • 한글파일 세븐 세그먼트
    세그먼트를 a, b, c, d, e, f, g로 나눌 수 있다. 7-세그먼트는 크게 두 가지로 나눈다. ... 공통 음극과 공통 양극 7-세그먼트의 내부 회로를 아래에 그려 놓았다. 또한 이런 7-세그먼트에 따라 각각 제어기가 틀려진다. ... 흔히 이 제어기를 7-세그먼트에 따라 각각 제어기가 틀려진다. 흔히 이 제어기를 7-세그먼트 디스플레이 디코더라 한다. 이런 일을 하는 IC에는 7446과 7447등이 있다.
    리포트 | 4페이지 | 1,000원 | 등록일 2004.12.09
  • 파일확장자 디지털 공학 세븐세그먼트 설계 프로젝트
    서론 7세그먼트-세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 ... 여기서 막대 모양의 LED 하나하나를 세그먼트(segment)라 한다.2.
    리포트 | 28페이지 | 3,000원 | 등록일 2009.02.09
  • 파일확장자 visual studio 2003,2005 c# 세븐세그먼트 컨트롤로 만든 깜빡이는 기능을 가진 시계
    ..FILE:0406_시계깜빡임완성/ssMain/App.ico ..FILE:0406_시계깜빡임완성/ssMain/AssemblyInfo.cs using System.Reflection; using System.Runtime.CompilerServices; // // 어셈..
    리포트 | 5,000원 | 등록일 2007.04.08
  • 파일확장자 Visual studio 2005 c# 판넬을 이용한 세븐세그먼트 한자리의 Control로 만든 전자시계
    control로 세븐세그먼트 (총 7개의 판넬로) 0부터~9까지의 한자리의 숫자를 밝힐수 있는 led를 만들고 이 것을 여러개 붙여서 DateTime 객체의 DateTime.now
    리포트 | 4,000원 | 등록일 2007.04.08
  • 한글파일 [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    1. 문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용한 4×10 Decoderud_and4.vhdlibrary ieee;use ieee.std_l..
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • 한글파일 롯데 코리아세븐_편의점 영업관리_최종 합격 자기소개서
    제품 다양화: 다양한 고객 세그먼트에 맞는 다양한 고품질의 고유한 제품을 포함하도록 제품 범위를 확장합니다. ... 롯데코리아세븐에 입사하여 귀사의 성공적인 편의점 사업에 기여하게 되어 기쁘게 생각합니다. 제 지원서를 고려해 주셔서 감사합니다. ... 롯데 코리아세븐_편의점 영업관리_최종 합격 자기소개서 편의점 영업매니저의 역할: 편의점 영업 관리자의 역할은 매장의 일상적인 운영을 감독 및 관리하고 효율적이고 효과적인 매장 성과를
    자기소개서 | 2페이지 | 5,000원 | 등록일 2023.06.28
  • 파일확장자 디지털 시계 결과보고서
    목적 및 목표브레드보드에서 4020, 7490, 7447소자의 동작을 이해하고 주파수가 세븐세그먼트의 숫자에 어떤 영향을 끼치는지 알아보자!3.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.23 | 수정일 2019.09.24
  • 한글파일 a+논리회로 텀프로젝트 브레드 보드 5개 사용한 포커게임 만들기
    이후의 동작은 카운터에 저장된 숫자들 74LS74 디코더를 이용해 세븐세그먼트에 출력하였습니다.
    리포트 | 9페이지 | 5,000원 | 등록일 2020.12.02 | 수정일 2021.01.15
  • 한글파일 Term_Project_보고서_1조
    출력을 세븐 세그먼트의 입력으로 연결해주기 위해 bcd 코드를 7- segment 입력으로 넣어주는 기능을 하는 소자인 7447(Bcd to 7-segment)를 사용하여 정상적인
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 한글파일 디지털논리회로 텀프로젝트
    HIGH의 출력을 받은 캐소드형 세븐세그먼트는 소자에 인가된 GND로 전압이 빠지면서 7세그먼트 상의 해당 LED에 불이 들어오게 한다. ... 과목 : 기초 전자 회로 실험2 비교기, 타이머, 카운터, 세븐세그먼트 디코더를 이용한 알람 스톱워치의 설계 담당교수 학 번 및 이 름 학 과 전자공학과 ... 첫 번째로 카운터에 입력 신호를 어떤 것으로 넣을지, 두 번째로 카운터의 출력을 어떻게 응용할지 여부에 대해 토의를 한 끝에, 입력으로는 타이머의 클럭펄스를, 출력은 세븐세그먼트 디코더와
    리포트 | 7페이지 | 2,000원 | 등록일 2016.08.17
  • 한글파일 텀 프로젝트 : 7-Segment 표시논리
    설계목적 : 7-세그먼트 표시장치(이하 세븐세그먼트)는 문자나 숫자를 표시하기 위한 장비 등에서 쉽게 찾아볼 수 있는 장치이다. ... 이번 프로젝트의 목적은 16진수를 세븐세그먼트로 출력하게 하는 것이다. ... 따라서 우리는 반도체에 기본이자 입문인 세븐세그먼트 장치를 익힐 필요가 있다.
    리포트 | 20페이지 | 2,500원 | 등록일 2012.04.17
  • 한글파일 마.프 응용실험(7세그먼트)
    그러나 이런 간단한 실험을 통해서도 우리 일상생활에서 7세그먼트가 어떤식 으로 이용되는지 LED가 어떻게 발전해왔는지를 알 수 있었던 유익한 실험이었던거 같다. ※ 7세그먼트 실생활 ... 처음에 7세그먼트 회로를 구성하고 젤 처음으로 해보아야 될것이 CA형인지 CC형인지를 판별하는 것 이었다. ... ■ 실험결과 숫 자 입력 스위치 패턴 (a,b,c,d,e,f,g,Dp) 7세그먼트 LED 점등패턴 0 00000011 1 10011111 2 00100101 3 00001101 4
    리포트 | 3페이지 | 1,000원 | 등록일 2012.11.12
  • 한글파일 디지털논리회로 텀프로젝트입니다.
    주로 세븐세그먼트와 함께 사용되는 소자이다. ... 그 결과 타이머와 4017타이머는 올바르게 동작 하였으나, 세븐세그먼트 디코더에 불이 들어오지 않았다. ... 이번 텀 프로젝트를 준비하면서, 타이머, 카운터, 세븐세그먼트 디스플레이의 사용법과 응용 방법에 대해 확실히 알게 되었다.
    리포트 | 10페이지 | 2,000원 | 등록일 2016.08.17
  • 한글파일 [기초전자회로실험1] "Verilog HDL을 이용한 Seven-segment display의 설계 및 FPGA를 통한 검증" 예비보고서
    소수를 나타내기 위해서 숫자의 오른쪽 아래에 소숫점(DP)이 붙는 경우도 있다. 7세그먼트는 FND(Flexible Numeric Display) 라고 표현하기도 한다. 7세그먼트의 ... 0001 1001 ● 7세그먼트 표시 장치(Seven-segment display) 7세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 ... 따라 전원의 +V(On) 또는 -V(Off)가 연결되고 나머지 단자는 디지털 핀에 연결합니다. 7세그먼트도 LED를 사용하므로 과전류 방지용 저항과 함께 사용해야 됩니다. 7세그먼트
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.23 | 수정일 2019.04.01
  • 파일확장자 7 - Segment의 이해와 Wincupl을 이용한 실습
    1. 16진수 진리표2. 7 Segment의 카르노맵3. 카르노맵의 부울대수화4. Wincupl 프로그램을 이용한 실습5. 프로그램 결과
    리포트 | 5페이지 | 1,000원 | 등록일 2011.03.19 | 수정일 2022.04.11
  • 한글파일 고급디지털회로 신호등 설계
    - 과제 제안서 일정한 시간에 따라 경로에 대한 신호를 FPGA보드로 구현한다. -시스템 기능 및 사양의 명세서 - 블록도 및 서브 블록의 정의 및 명세 - 전체 코드 작성 및 그에 대한 설명 module traffic_lights_top (mclk, btn, Led)..
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.22
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업