• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(17)
  • 리포트(17)

"베릴로그 자판기" 검색결과 1-17 / 17건

  • 파일확장자 자판기 베릴로그코드 테스트벤치(testbench) 포함 / Vending machine / Verilog code / 베릴로그코드 설명 결과보고서 포함 / ASIC 설계 / 논리회로 / 디지털 설계
    가격이 1000원 및 1500원인 콜라를 판매하는 자판기를 각각 Verilog code로 구현하였습니다. ... 코드파일(.v)과 머신에 대한 설명 및 시뮬레이션 결과에 대한 파일(.docx)이 포함되어 있습니다. 1500원 콜라 자판기의 경우 모델심 시뮬레이션에 필요한 testbench 코드
    리포트 | 3페이지 | 2,500원 | 등록일 2020.10.17 | 수정일 2020.10.22
  • 파일확장자 FSM의 개념을 이용한 베릴로그 자판기 설계
    1. INPUTCLK : clocknRESET : 상태 s0 으로 초기화[1:0]Coin : 동전 / parameter [1:0] Coin0 = 2'b00 : 0원 Co..
    리포트 | 20페이지 | 3,000원 | 등록일 2013.09.05
  • 파워포인트파일 베릴로그 자판기설계, Vendingmachine Verilog (코드,타이밍밴치,ppt)
    설계내용 Vending Machine 입력 부분 자판기 회로 초기상태 (S0) 선택 ( 1BIT , reset) : X3= 안 누르면 0, 누르면 1. ... 설계목표 Vending Machine 설계사양 지정 자판기에 투입되는 동전은 500 원 , 1000 원 2 가지로 가능합니다 . 최대 합산할 수 있는 돈은 2000 원입니다 . ... 자판기 판매 물품 생수 - 500 원 , 에너지드링크 - 1000 원 , 과일주스 -1500 음료수를 선택하면 선택한 음료수가 나오고 그 가격만큼 차감 되고 , 동전 반환 버튼을 눌렀을
    리포트 | 24페이지 | 1,500원 | 등록일 2014.06.13
  • 한글파일 베릴로그 자판기 설계 프로젝트
    100원 짜리 반환 actrefund5 500원 짜리 반환 S_Out 14bit 짜리 멀티비트로 7segment 2개와 이어지며, 현재 금액을 표시한다. a1,b1~~g1 현재 자판기에 ... 있는 돈의 100단위 값을 7segment 로 표시 a10,b10~~g10 현재 자판기에 있는 돈의 1000단위 값을 7segment 로 표시 INNER PORT add1 register100을
    리포트 | 42페이지 | 3,000원 | 등록일 2009.08.09
  • 파일확장자 베릴로그 카운터 및 FSM을 이용한 프로젝트 ( 콜라 자판기 )
    1. 서론입력은 2비트 2진수로 정의한다.입력은 총 세 가지 경우가 있다. X0 = 2`b00 (동전을 넣지 않음) X5 = 2`b01 (50센트 투입) X10 = 2`b10 (1달러 투입) 출력은 1비트 2진수로 정의..
    리포트 | 3페이지 | 5,000원 | 등록일 2007.09.13
  • 한글파일 베릴로그를 이용한 FSM(Finite State Machine) 및 자판기 설계
    논리회로실험 FSM(Finite State Machine) 및 자판기 제어기 설계 1. ... 결과 토의 사항 1) 초콜렛 자판기 제어기를 최적화하여 구현하시오. ... 하지만 이 과정을 거치게 되면 State의 의미가 잘 이해되지 않아서 바꿔보지는 않았다. 2) 200원이 자판기에 넣어졌을 때 돈을 되돌려 받을 수 있도록 설계하시오.
    리포트 | 14페이지 | 3,000원 | 등록일 2005.03.30
  • 파일확장자 verilog 베릴로그 booth multiplier와 CLA로 구현한 자판기 (보고서,발표자료 포함)DE2-70보드 다운가능 v file 포함
    이에 같은 기능이지만 다른 구조를 갖는 adder, multiplier를 구현 후 비교해보고 나아가 이들을 이용한 실용적인 작품을 만들고자 자판기를 선택하게 되었습니다.(3) 구현내용 ... 프로젝트 소개 (1) 프로젝트 목표 수업시간을 통해 배운 카운터, 가산기, 감산기, Multiplier,와 Sequential 로직을 통합적으로 이용하여 verilog ... 개수를 선택하는 switch는 기본값을 1로 하여 1개의 상품을 선택할 때에도 개수를 넣어주는 번거로움을 없앴습니다.12bit 가산기carry Look ahead 12bit 감산기carry
    리포트 | 21페이지 | 4,000원 | 등록일 2008.12.29
  • 워드파일 Register Transfer Level (RTL ) 기능을 이용한 Verilog 자판기 구현 (컴퓨터 아키텍쳐 실습)
    (실제 자판기를 떠올리도록 한다). 자판기내에서 item의 재고의 개념을 도입한다. (재고가 없으면 해당 item을 판매하지 않는다.) 자판기내에 있는 돈의 상태를 관리한다. ... 자판기내의 거스름돈은 무한하다. 자판기는 사용자가 투입한 현금을 99999원까지 들고 있을 수 있으며, 사용자 또한 99999원 이상의 돈을 투입하지 않는다. ... 요구사항은 다음과 같다. (1) Use-case 자판기의 item 종류는 4개이며, item 종류에 따른 가격은 임의로 정한다. 자판기내의 item의 재고는 무한하다.
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.08
  • 파일확장자 [Flowrian] 커피 자판기 회로의 Verilog 설계 및 시뮬레이션 검증
    커피 자판기 회로는 10개의 모듈로 구성된다.- 12 비트 레지스터 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 8 입력 12 비트 멀티플렉서 : RT 수준 Verilog ... 제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 최상위 자판기 회로 : 구조수준 Verilog 설계 및 시뮬레이션 검증Verilog 언어를 이용하여 디지털 ... 설계 및 시뮬레이션 검증- 커피 제조 회로 : 구조수준 Verilog 설계 및 시뮬레이션 검증- 커피 제조 제어 유한상태머신 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 자판기
    리포트 | 56페이지 | 2,000원 | 등록일 2011.09.24
  • 파워포인트파일 Verilog HDL 언어를 이용한 음료수 자판기 설계
    자판기에 투입되는 동전은 500 원 ,1000 원으로 합니다 . 동전을 자판기에 넣을 경우 입력된 돈을 합산 합니다 . 최대 합산할 수 있는 돈은 2000 원으로 합니다 . ... 설계내용 자판기 회로 동작 조건정의 ( 모듈 , 입출력변수 , 매개변수 ) 자판기 제어회로 모듈 = 입력 = 동전 입력 (2 비트입력 , [Input1][Input0]) : 0 원 ... 설계 시 힘들었던 점은 처음 자판기의 동작 조건에 관한 의견 조율이 다소 힘들었습니다 .
    리포트 | 29페이지 | 3,200원 | 등록일 2010.12.29
  • 한글파일 자판기(자동판매기) verilog
    디지털회로설계 및 언어 결과보고서 Vending Machine 목차 1연구배경 1.1 자판기 사전적 의미........................................... ... .................................16 3.6 자판기 회로 Verilog HDL 코드.............................. ... ............................................3 1.2 자판기 종류별 구조적 설명.....................................
    리포트 | 26페이지 | 10,000원 | 등록일 2009.12.26 | 수정일 2020.08.28
  • 파일확장자 Verilog HDL (자판기 설계)
    14주차 논리회로설계 ◈ 자판기를 설계하시오.1.
    리포트 | 1페이지 | 3,000원 | 등록일 2010.06.07
  • 파일확장자 vending machine(자판기)
    자판기의 특성상, 사용자의 조작에 의해 자판기가 작동되어야 하기 때문에, test bench는 필요가 없어서 코드에 포함되어 있지 않습니다. ... quartus를 이용하여 verilog HDL code로 작성한 vending machine(자판기)입니다. 실제 자판기와 유사하게 작동되도록 구현했습니다. ... 모델심을 이용해서 100원, 500원, 1000원을 입력하시면서 해보시면 길거리에 있는 자판기의 작동과 같다는 것을 직접 확인하실 수 있습니다. 2014년도 1학기 수업에서 A+
    리포트 | 13페이지 | 3,500원 | 등록일 2014.06.28 | 수정일 2014.07.03
  • 파일확장자 논리회로) 자판기를 제어하는 조합 논리회로설계 (Pro_VSM 시뮬, 진리표, 실험사진)
    실험 제목 : 자판기를 제어하는 조합 논리회로설계2. 실험 목적 : 조합 논리회로를 이용해서 음료수 자판기를 직접 설계한다.3. ... 실험 내용 600원 짜리와 700원 짜리 음료수를 판매하는 자판기이다. 이 자판기는 100원 짜리 동전 7개와 500원 짜리 동전 1개를 각각 입력 받을 수 있다.
    리포트 | 1페이지 | 1,500원 | 등록일 2013.06.09
  • 파워포인트파일 verilog HDL을 이용한 LED주사위 설계 피피티 (자판기 or 신호등)
    주사위 (DICE) 설계 Co n tents 설계 계획 PLD/LED 를 이용한 주사위 구성 회로 구현 및 회로의 동작 검증 Ask Me Anything 질의 응답 설계 결과 팀 역할분담 설계 방법 Contents 설계 분담 주제선정동기 팀 역 할 분담 설계 분담 Xi..
    리포트 | 14페이지 | 1,500원 | 등록일 2013.07.16
  • 한글파일 디지털논리 VerilogHDL Project 결과보고서
    1. clk카운트 module clock_sec(clk, rst, real_A, enable_10sec); input clk, rst; output [16:0] real_A; output enable_10sec; reg [16:0] real_A; reg enable_1..
    리포트 | 10페이지 | 3,000원 | 등록일 2010.06.29
  • 파일확장자 [verilog]Mealy FSM 및 Moore FSM 설계
    reg [2:0] state, next;//State Register (상태 레지스터 블록) always @(posedge clk or posedge start) begin if(start==1) state
    리포트 | 7페이지 | 3,000원 | 등록일 2012.04.30 | 수정일 2021.05.07
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업