• 통합검색(411)
  • 리포트(401)
  • 시험자료(5)
  • 논문(4)
  • 자기소개서(1)

"7segment decoder" 검색결과 161-180 / 411건

판매자 표지는 다운로드시 포함되지 않습니다.
  • A+ 디지털 시스템 실험 7-segment <5주차 결과보고서>
    와 연결하여 계산결과를 표현하는 7-segment 계산기 구현실험결과1. 4bit Binary-to-BCD Convertor 구현[표 1] Line Decoder[그림 1] Line ... (Net4,P[4:1]);Line_Decoder C5 (T[10:7],P[8:5]);endmodulemodule BCD_to_segment1(input [3:0] P, output [6 ... ]);Line_Decoder C5 (T[10:7],P[8:5]);endmodulemodule BCD_to_segment1(input [3:0] P, output [6:0] seg
    리포트 | 15페이지 | 1,500원 | 등록일 2017.07.05
  • 디지털논리회로 엘레베이터 제어기 설계
    하는 7 segment가 있다. • 엘리베이터가 없는 층에서 엘리베이터를 부르면 엘리베이터는 한 층씩 이동하여 엘리베이터를 부른 층으로 이동한다. • 엘리베이터가 이동하는 동안 ... 엘리베이터가 지나가는 층 수는 7 segment에 표시되어야 한다.• 엘리베이터는 초당 한층 씩 움직일 수 있다. 단 엘리베이터가 이 동 중에는 버튼을 누르지않는다고 가정한다. • Reset 버튼을 누르면 엘리베이터 위치는 1층으로 초기화 된다. 4. 개념 설계 및 사전 조사 ... 비교기(4) 7493A 2진 카운터/74139(5) 7447A BCD/10진 디코더(6) MAN72 7-세그먼트 디스플레이(7) 74138 Decoder3. 프로젝트 개요:• 각 층
    리포트 | 5페이지 | 10,000원 | 등록일 2018.03.24 | 수정일 2018.03.28
  • 전자공학실험1 예비(7장)
    IC들의 기능과 핀 배치도를 조사하여 작성하라.< BCD to 7 segment decoder >< 8 : 1 MUX >< Dual 1 : 4 Demux >< 4 bit up ... 에 1011=b를 표시해 보라.abcdefgb*************000111111021001000실험1. 7447 : 7-segment decoder ... 전자공학실험1- 예비보고서 -[7장. 디코더와 멀티플렉서]( 개 정 판 )실험 7. 디코더와 멀티플렉서목적디코더와 디멀티플렉서의 기능과 동작원리, 7-segment 디코더의 동작
    리포트 | 8페이지 | 1,000원 | 등록일 2012.04.08
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목7-segment ... 실험목표① 4bit binary 를 8bit BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계② BCD 입력을 7-segment로 출력하는 디지털 회로 설계 ... 하여 계산결과를 표현하는 7-segment 계산기 구현기본지식1. Binary-to-BCD Converter표1과 같이 Binary를 BCD로 변환 하려면 10 이상이 BCD 코드
    리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • [예비레포트] 숫자표시기 아두이노
    을 켜주어 해당 숫자가 표시되도록 하여 주는 IC를 BCD to 7 segment decoder/driver라고 부른다. 대표적인 것으로 7446, 7447또는 74246, 74247 ... 그림4는 LOW일 때 켜지기 때문에 부논리동작이라 할 수 있다.7-세그먼트 디코더/구동기 - BCD코드가 주어지면 이것으로 7segment display의 LED들 중 적절한 것 ... 한다.관련이론숫자표시기7-세그먼트 표시기 – 숫자표시기는 그림1과 같이 일곱개의 발광다이오드로 이루어진 표시창으로 일곱개의 LED a~g중 어느 것들이 켜지느냐에 따라 0~9까지의 숫자
    리포트 | 5페이지 | 1,000원 | 등록일 2019.08.22
  • BCD TO 7-SEGMENT DECODER 설계 결과 보고서
    설계 결과 보고서BCD TO 7-SEGMENT DECODER 설계Group:Subject:Professor:Major:Student Number:Name:Due Date:목 차1 ... LS47P DATA SHEET82.FND507 DATA SHEET93.Artwork - Printed On OHP10- -1. 명제▶ BCD TO 7-SEGMENT DECODER ... 6. 회로도 및 ARTWORK(1) 회로도(2) Artwork7. 동작설명BCD TO 7-SEGMENT DECODER의 동작은 다음과 같다.(1) 4개의 스위치에서 입력을 받
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.21
  • 세그먼트(7segment) 실험보고서
    ……………………………… 3~5P5. 7segment Decoder란? ………… ,5~7P6. 실험과정 ………………………………… 8P8. 소감 및 고찰 ……………………… 9~10P1. 목적- 7s ... egment Decoder Driver와 7segment LED 10진 표시 IC의 동작에 대해 실험한다.3. 필요부품 및 기기- 디지털 회로실험기, DCT - 201 1대 ... 동작을 하게 된다. 캐소드 타입은 에노드 타입과 정반대의특성을 가지며, 연결단자에 직접 입력전압을 인가해야만 동작을 하도록 설정되어있음. 5. 7segment Decoder란?- 7
    리포트 | 7페이지 | 2,000원 | 등록일 2011.11.21
  • 디지털시스템실험 3주차 결과보고서
    및 설명module binary2BCD(segment, ten, one //변수 설정);input [7 : 0] segment ; //input을 8비트 배열로 정의output ... 게 always에서 reg값 변경이 가능reg [3 : 0] one;integer i; //for문에 사용할 변수 I를 정의always @(segment)beginten = 4'd0;one ... ① Decoder 설계② Binary-to-BCD Convertor 설계실험목표① 조합회로와 순차회로에 대해 알고, 그 차이점을 알 수 있다.② 2-to-4, 3-to-8 라인 디코더
    리포트 | 5페이지 | 1,500원 | 등록일 2018.01.02
  • Decoder and Encoder
    Decoder and EncoderIntroductionBCD to 7‐segment decoderBCD 값을 입력받아 7‐segment 출력을 위한 신호를 발생시키는 변환 회로 ... 이다.위의 7‐segment를 이용하여 9999이하의 이진수를 입력을 받아 LED로 바꾸어 주는 VHDL 코드가 이번 실험의 목적이다. 우리가 주목 할 점은 7‐segment 왼쪽 ... 0);E : in std_logic_vector(3 downto 1);Q : out std_logic_vector(7 downto 0));end decoder
    리포트 | 17페이지 | 1,500원 | 등록일 2010.11.02
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    , 플립플롭, 7segment, 타이머, 디코더, 카운터 등을 이용하고 새로운 이론과 새로운 소자들과의 융합, 결합을 통해 기존의 익혔던 이론을 익히고 새로운 이론과 새로운 소자 ... 양단의 전압은 threads hold로 사용된다.지연 시간 W =1.1 *RC 식으로서 구할수 있다.(5)74ls47 7segment-74ls47의 진리표BCD코드 4자리를 입력 ... 받아 그 입력 값을 알아보기 쉬운 10진의 숫자로 표현이 가능한7-Segment로 넘겨주는 Decoder IC를 이용하여 숫자를 표시하였다. 입력이 총 4개(4비트)이기 때문
    리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • 디지털공학실험(디지털시계프로젝트) A+받은 자료입니다
    Decoder 의 연결? Decoder를 통해, 7-segment에 숫자 표시위와 같은 방식으로, 계속 Carry 신호를 분 단위, 시간단위의 Clock 신호로 연결을 하 ... 세그먼트 표시 장치(Seven-segment display)는 표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다.위와 아래에 사각형 모양으로 두 개의 가로 획 ... 가 필요하다. 하지만 BCD Decoder를 사용한다면 4개의 GPIO로 만으로도 7세그먼트를 동작 시킬 수 있다.위 그림은 BCD 디코더를 7세그먼트에 연결한 것과, BCD 디코더
    리포트 | 14페이지 | 5,000원 | 등록일 2018.09.16 | 수정일 2020.12.22
  • 아주대학교 논리회로 실험 설계 예비보고서
    의 CLKA는 5Mhz Clock과 바로 연결한다. 10진 counter를 7442 Decoder와 연결하였다.※7-segment FND507의 Datasheet3번과 8번 단자 ... 한번 7447을 통과시켜 7-segment FND507을 control하기에 적합한 신호로 decoding시켜준다. OA부터 OG를 모든 segment에 연결시키면 Segment ... - 출력 : 7segment를 5개를 사용하여 분, 초, 초/10 등으로 구성한다.2. 동작 조건(1) 초기에 상태에서 start/stop의 key0을 누르면 시간이 흘러가는 것
    리포트 | 10페이지 | 2,000원 | 등록일 2016.06.14
  • 디지털실험 - 실험 4. 엔코더와 디코더 회로 예비
    의 논리식을 AB로 나타내어라.2) 다음은 7-segment의 진리치표이다. 회로를 구성하여 7-segment LED를 구동 확인하고 0 ? 9숫자의 논리식을 표시하라.3) 세 번 ... ) Seven-segment 숫자표시기의 사용방법을 익힌다.- 이 론상태 혹은 명령들을 그에 대응하는 2진 정보로 변환하는 회로를 encoder(부호기)라고 하며, 반대로 주어진 2진 ... *예비보고서*실험주제실험 4. 엔코더와 디코더 회로조13조1. 실험 이론- 목 적1) Encoder와 Decoder의 기능을 익힌다.2) 부호변환 회로의 설계방법을 익힌다.3
    리포트 | 6페이지 | 1,500원 | 등록일 2017.04.02
  • 디지털 시스템 실험 7-Segment 결과보고서
    디코더를 이용해 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4 ... . 구현된 결과물을 HBE-COMBO II-DLD 보드에 업로드하여 검증5. (선택사항) 가산기와 연결하여 계산결과를 표현하는 7-segment 계산기 구현실험결과4bit ... 코드로 7-segment에 숫자를 표시할 수 있도록 하는 디코더를 구현하였다.2진수 값을 입력받아 BCD코드로 변환 후 7-Segment로 10진수의 값을 출력하도록 구현
    리포트 | 6페이지 | 1,000원 | 등록일 2016.04.08
  • 판매자 표지 자료 표지
    전자전기컴퓨터설계실험2(전전설2)8주차예비
    을 주었을 때 LED에 불이 들어오도록 하였다. Segment 모양에 따라서 각각의 decode 된 2진수들이 존재한다.나. Static/Dynamic 7-segment7-s ... PreliminaryReport주 제: Lab#08 Application_Design_Ⅰ@ 7-segment and Piezo_Control지도교수 : 신 창 환 교수님실험조교 ... ymbol을 이룰 수 있게 하는 장치이다. 다이오드를 사용하며 두 가지 방식의 7-segment가 존재하는데 이 board에서는 common cathode 방식을 사용하여 high값
    리포트 | 26페이지 | 1,500원 | 등록일 2016.01.14 | 수정일 2017.02.10
  • 디지털 시스템 실험 7-Segment 예비보고서
    디코더를 이용해 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4 ... . 구현된 결과물을 HBE-COMBO II-DLD 보드에 업로드하여 검증5. (선택사항) 가산기와 연결하여 계산결과를 표현하는 7-segment 계산기 구현배경지식1. Binary ... 의 입력을 각각 주고 네 개의 숫자를 빠른 주기로 반복하여 나타낸다. 잔상효과에 의해 1234의 숫자가 표시된다.입력 (2진수)출력 (7-segment)D3D2D1D0abcdefg
    리포트 | 10페이지 | 1,000원 | 등록일 2016.04.08
  • 아주대학교 논리회로실험 설계 에비보고서
    를 74145 Decoder와 연결하였다. 구현에 사용하는 7-segment FND의 Datasheet는 아래와 같다.3번과 8번 단자는 Common Anode로써, 이 단자에 LOW ... 므로, 이를 바로 이용할 수 있다.[Segment Clock Counting Part] : Clock signal을 받아 7-segment 출력에 알맞은 형태로 변형함Clock ... diving part를 지나며 10Hz이 된 Clock signal을 받는 part이다. 5개의 IC 74190이 각각 하나의 7-segment를 표시하는 데이터를 처리하게 된다. 가장
    리포트 | 6페이지 | 1,500원 | 등록일 2016.06.16
  • BCD 7-Segment Decoder 설계제안서
    1. 명제▶ 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하고, 2진 입력을 BCD코드로 디코드 ... 를 표시하도록 되어 있다. 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7-Segment 소자라고 이름이 붙여졌다.7 ... 하는 과정을 눈으로 확인할 수 있도록 회로를 설계하라.2. 각 칩의 명칭과 기능▶ 7447(BCD to 7 Segment Decoder/Driver)7447(74LS47)은 BCD입력
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • 디지털시스템실험, Verilog를 이용해 BCD to 7 segment를 통한 계산기 설계 및 구현, FPGA보드 결과 포함
    7-segment와 10의자리 7-segment 2개로 숫자를 표현하는 과정이다.1. Line decoder의 Verilog 코드이다.2. Line decoder 5개를 중첩 ... 구현실험목표1. BCD 입력을 7-segment로 출력하는 디지털 회로 설계2. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현3. 가산기 ... 와 연결하여 계산결과를 표현하는 7-segment 계산기 구현실험결과7-segment 블록 다이어그램을 보면 먼저 4bit의 input을 입력받아서(0~15까지 표현가능) 이
    리포트 | 5페이지 | 2,500원 | 등록일 2015.12.05 | 수정일 2018.05.23
  • 아주대 논리회로실험 설계 프로젝트 결과보고서
    의 output 단자로 5개의 7-segment의 전원을 효과적으로 제어7490 IC의 CLKB는 5Mhz Clock과 바로 연결한다. 10진 counter를 74145 Decoder ... signal을 받아 7-segment 출력에 알맞은 형태로 변형함Clock diving part를 지나며 10Hz이 된 Clock signal을 받는 part이다. 5개의 IC ... 74190이 각각 하나의 7-segment를 표시하는 데이터를 처리하게 된다. 가장 위부터 일, 십, 백, 천, 만 단위를 counting한다. 이를 위해 74190의 입/출력 단자
    리포트 | 8페이지 | 2,000원 | 등록일 2016.06.16
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 05월 12일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:00 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감