• 통합검색(4,230)
  • 리포트(3,709)
  • 자기소개서(262)
  • 시험자료(151)
  • 방송통신대(84)
  • 논문(21)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

바로가기

방송통신대 - 2025 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료
판매자 표지는 다운로드시 포함되지 않습니다.

"디지털논리회로" 검색결과 1,401-1,420 / 4,230건

  • 논리 대수와 드모르간 정리, 간소화 결과보고서 A+
    로만 들었던 함수 발생기, 오실로스코프를 직접 다뤄보면서 익숙해졌고, 디지털 공학 수업과 논리회로설계 시간에 배운 Timing-Diagram에 대해 직접 구현해보아서 의미가 있 ... Experiment-Report(4장 논리 대수와 드모르간 정리, 간소화)1. 실험목적실험적으로 Boolean 대수의 여러 법칙을 증명한다.규칙 10과 11을 증명할 회로를 구성 ... 한다.실험적으로 4입력 변수를 갖는 회로의 진리표를 결정하고, 수학적으로 등가인지를 증명하기 위해 드모르간 정리를 이용한다.2. 자료 및 관찰1.A + 0 = A의 회로구성
    리포트 | 5페이지 | 1,000원 | 등록일 2020.03.05 | 수정일 2020.03.12
  • 디지털 도어록 프로젝트 보고서
    목 차디지털 장치 선정 및 목적1.1 도어락 선정1.2 목적 및 목표도어락이란?2.1 도어락이란2.2 도어락과 관련된 신문기사준비물3.1 남땜 기구3.2 7세그먼트3.3 각종 ... IC 칩3.4 기타 기구설계 및 구현 과정4. 로직웍스 회로도 (구현과정)4.1 처음 구상한 회로도4.1 2번째 회로도4.1 최종완성회로도결과 및 고찰5.1 회로도 기판 완성 모습 ... (각 부분)5.2 애로사항 및 고찰참고문헌디지털 장치 선정 및 목적1.1 도어락 선정- 도어락의 대표적인 방식① 가장 보편적인 비밀번호 입력방식② 간편하고 편리한 근접식 카드방식
    리포트 | 13페이지 | 3,000원 | 등록일 2010.06.24 | 수정일 2018.06.10
  • 4차 산업혁명 시대의 컴퓨터 개론(개정판) 1~4 단원 솔루션
    중 가장 대표적인 인물로서 “제3의 물결”이란 저서를 쓴 학자는 누구인가? 앨빈 토플러2. 디지털 정보를 구성하는 가장 기본적인 단위로서 binary digit의 약자는 무엇인가 ... 는 이유가 무엇인지를 설명하시오.→ 논리 연산자로 논리회로, 논리회로, 논리부정 회로 등이 있다. 2진 정보를 취급하며 보통 2개 이상의 입력 단자와 하나의 출력 단자 ... chapter 01정오식 문제1. 디지털 혁명은 아날로그에서 디지털로의 변환을 의미한다. O2. 아날로그 정보는 음성 정보와 같이 연속성이 있고 끊어지지 않는 특징을 가지고 있
    시험자료 | 12페이지 | 2,000원 | 등록일 2020.04.22 | 수정일 2022.05.11
  • V. 제어기술 요약정리 및 예상문제
    은 항온 항습 제어용으로 가장 많이 사용되어지고 있다.9.디지털식 계기(DDC 제어)- 비교적 새로운 조절기로 온도, 습도 등의 제어량을 아날로그/디지털 변환(A/D 변환) 하 ... ,NFB): 전기회로를 개폐할 수 있으며, 단락보호와 과부하 목적으로 사용된다. 단락이나 과부하시 자동적으로 트립되어 회로를 자동으로 차단하며 트립의 원인을제거한 후 다시 손잡이를 올리 ... 면 정상작동을 한다.22.부울대수- 0 : L레벨, 접점 OPEN, 코일 소자(전원 off)- 1 : H레벨, 접점 CLOSE, 코일 여자(전원 on)23.논리적(AND) 연산
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.04.28
  • [전기실험] 논리소자를 통한 전기적 소자 실험
    1.실험목표NAND 게이트와 NOR게이트를 이용해 원하는 회로를 구성할 수 있다.2.실험 이론논리회로란 부울 대수(Boolean algebra)를 이용하여 1개 이상의 논리 입력 ... 을 일정한 논리 연산에 의해 1개의 논리 출력을 얻는 회로를 뜻한다.각 논리회로마다 입력에 따른 출력이 정해지는 진리표가 있으며 복잡한 논리회로일지라도 부울대수, 카르노맵을 이용 ... 한 간략화를 통해 보다 좀더 간단하게 회로를 구성할 수 있다.이번 실험에 사용될 논리회로는 NOR와 NAND로써 기본 AND,OR에 인버터 (~NOT)가 붙은 소자이다 .왼쪽아래
    리포트 | 4페이지 | 1,500원 | 등록일 2019.06.29
  • 광운대학교 전기공학과 1학년 실험2
    를 높인다.◆관련 이론◆◎기본 논리게이트디지털 논리회로에서 논리변수의 입력과 논리변수 출력간의 함수관계를 나타내는 기본적인 단위를 논리게이트라 한다. 그리고 입출력변수간 논리적 함수 ... 여 익히도록 한다. 그리고 기본 논리소자를 사용한 간단한 회로의 구성과 측정법을 익혀 Open- collector 타입의 IC의 사용법과 특성을 익혀 전기회로실험에 대한 이해도 ... 게이트들은 디지털 IC의 형태로 주어진다. 디지털 IC의 대표적인 것은 TTL이라 불리우는 계열의 집적회로들로서 7400, 7401,... 등으로 번호가 매겨져 있다. 여기서 앞
    리포트 | 7페이지 | 1,000원 | 등록일 2019.06.30
  • 컴퓨터구조 이론 및 실습 [아두이노 논리게이트 및 조합논리회로 실습]
    1] 논리 게이트란? 논리 회로 : 부울 대수를 이용하여 1개 이상의 논리 입력을 일정한 논리 연산에 의해 1개의 논리 출력을 얻는 회로논리 게이트 : 논리 ... 회로에서 뜻하는 대로 게이트 종류에 따라 게이트에 입력한 값에 따라 출력하는 값이 다르도록 설계한 게이트4] 조합 논리 회로란 무엇인가? 조합 논리 ... 회로 : 출력신호가 입력신호에 의해서만 결정되며, 기본 논리소자의 조합으 로 만들어지는 회로이며, 플리플롭과 같은 기억소자는 포함하지 않는 논리회로이다. ② 가산기
    리포트 | 49페이지 | 5,000원 | 등록일 2019.10.02 | 수정일 2019.10.09
  • 디지털시계와 stop watch
    **디지털 시계와 stopwatch 소스 **library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use ... IEEE.STD_LOGIC_UNSIGNED.ALL;entity digital_watch isPort ( clk : in std_logic;reset : in std_logic ... ;push1 : in std_logic;push2 : in std_logic;push3 : in std_logic;digit : out std_logic_vector(1 to 6);s
    리포트 | 11페이지 | 2,000원 | 등록일 2013.12.18
  • 디지털 텀프 정환 디지털오르간
    Term project - 디지털오르간과 목디지털논리회로실험학 과전자공학과요 일화요일조1조조 원담당교수담당조교Ι. 제목DIGITAL ORGAN (Using Counter with ... 하는 출력 주파수를 없다. 이는 단순히 디지털로 구현하기 어려웠는데, 두 스위치이 모두 1일 경우의 주파수를 찾기가 힘들었다.우리가 구현한 회로에 추가적인 기능을 더한다면 메모리를 이용이다. ... 도록 한다.ㆍ 추가 구현으로는 각각의 건반을 누를 때 주파수의 높낮이에 따라 LED가 순차적으로 점등되는 Equalizer를 구현한다.Ⅲ. 프로젝트 개요Digital Organ
    리포트 | 13페이지 | 1,000원 | 등록일 2013.01.24
  • 부산대 기계공학응용실험 PLC응용실험 보고서 A+
    논리식을 포함하는 경우가 많으며, 이를 해석하는 일은 간단하지 않다. 디지털 회로이론에서는 그래프 분석기법인 카르노맵과 같은 방법을 사용하여 논리식을 단순화한다. 이는 논리항 ... diagram)을 작성하여 수행한다.[참고문헌 : 윤순현 외 10 , 기계공학 응용실험, 제 3판, 청문각]3. 이론적 배경실험에 앞서 PLC의 래더 다이어그램의 기본이 되는 디지털 논리체계 ... 의 이해를 위한 불대수와 기초연산자, 로직의 단순화 방법 등에 대해 살펴본다.(1)불대수불대수란 2진 변수와 논리동작을 기술하는 대수를 말한다. 논리회로의 형태와 같은 구조를 기술
    리포트 | 10페이지 | 2,000원 | 등록일 2020.06.08
  • 기본논리 게이트의 회로도 진리표 논리식을 정리하세요
    디지털 공학 개론 기본 논리 게이트의 회로도 , 진리표 , 논리식을 정리하세요 . 2. 2 변수 ,3 변수 입력을 가진 논리식을 각각 5 개씩 만든 후 부울 대수의 법칙을 적용 ... 하여 간소화 하시오 . 3. 2 번에서 간소화한 식에 대한 회로를 그리시오 . 1. 기본 논리 게이트란 ? 정보 ·통신 앤드 (AND), 오어 (OR), 노어(NOR), 낸드 ... (NAND), 노트(NOT) 따위와 같이 하나의 논리 회로를 구성하는 데 사용되는 기본 단위. 일반적으로 낸드 게이트와 노어 게이트가 널리 사용되고 있다. * 네이버 백과사전 참조 2
    리포트 | 18페이지 | 2,500원 | 등록일 2020.01.27
  • 판매자 표지 자료 표지
    전자공학과 대학원 자기소개서 작성 성공패턴 면접기출문제와 구두면접예상문제 입시시험문제
    , 커패시터, 인덕터) + OP Amp를 사용한 회로의 분석을 배우는 기초적인 과목. 선수 과목으로 미적분 및 일반물리를 요구한다..논리회로(디지털 논리회로) 및 실험 - AND ... 한다..디지털시스템 설계.ASIC(주문형 반도체 회로) 설계.VLSI(대규모 집적 회로) 설계 - 선수과목으로 논리회로를 요구한다..SoC(System on Chip) 설계 ... , OR, NAND등 디지털 회로를 만드는데 사용되는 기초적인 이론을 배우는 과목.전자 회로 (및 실험) - 기본적인 전자소자(주로 트랜지스터)의 동작원리 및 소자를 이용한 회로 설계
    자기소개서 | 436페이지 | 9,900원 | 등록일 2019.05.10
  • 정보기술과 디지털 기술
    네트워크와 연관.- 여기서 디지털 기술들에 초점을 맞춤.- 무어의 법칙(Moore's Law): 모든 현대 디지털 장치의 기반인 통합 회로로 저렴하게 배치될 수 있는 구성요소 ... # 정보기술이란 무엇인가?? 정보기술(information technology)- 1950년대 : 기계화된 다큐멘테이션과 새로운 디지털 컴퓨터의 적용을 기술하기 위해 처음 사용. ... 들의 수는 매 2년마다 대략 2배가 된다고 주장.# 디지털 기술? 디지털 장치- 더욱더 상호 연관- 다른 종류의 장치 및 제품들과 더욱 통합- 더 작아지고, 더 퍼지게 됨.- 정보
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.01.03
  • [대충] 결과 가산기와 ALU 그리고 조합논리회로 응용
    디지털공학실험(결과보고서)실험 : 가산기와 ALU 그리고조합논리회로 응용◆실험가. 2개의 입력과 출력을 표시하고 ALU를 이용하여 16진 가감산 결과를 확인하는 실험을 해 보
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • 스위칭 회로 결과보고서 A+
    게 신경쓰지 않아도 될 부분이라 생각한다.이번 실험을 통해 다양한 회로를 브레드보드에 구현해보았고, 디지털공학에서 이론적으로 배운 논리함수들을 점프선과 저항 및 LED 등으로 직접 ... Experiment-Report(1장 스위칭 회로)1. 실험목적AND, OR 논리의 진리표를 결정한다.Switch(릴레이)의 직렬, 병렬 연결로 AND, OR 논리를 구성 ... 부터 1-9까지 실험을 해보았을 때 논리함수(AND, OR, NOT, XNOR 등)을 스위치 회로만으로도 표현이 가능하다는 것을 확인하였다. 이는 브레드 보드로 간단히 표현할 수 있
    리포트 | 14페이지 | 1,500원 | 등록일 2020.03.05 | 수정일 2020.03.11
  • 전자전기컴퓨터설계실험2(전전설2) (6) Flip-Flop and Register, SIPO
    으로 구현하고, 설계한 논리를 시뮬레이션하기 위한 테스트 벤치를 작성하고 장비로 동작을 확인한다.2. 실험 이론2.1. Combinational Logic디지털 회로 이론에서 조합 ... 의 논리회로가 간단하다. 디지털 시스템 설계에서의 회로를 구성할 때, 조합 논리와 결합하여 순차 회로의 기능을 구현하는 중요한 요소이다. 마이크로프로세서와 같은 디지털 로직 ... 논리(combinational logic)는 현재 입력에 따라 출력이 항상 똑같이 결정되는 논리회로를 말한다. 현재 입력뿐만 아니라 이전 입력의 영향 또한 함께 받는 순차 논리
    리포트 | 44페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 논리 프로브 전기 전자 기초실험 예비보고서
    테스트를 해본다. 또 시험회로에서의 가상적 결함에 대한 고장을 진단해볼 수 있다. 디지털 멀티미터와 오실로스코프를 사용한 논리레벨 측정 및 유효한 입력 논리 레벨과의 비교를 할 수 ... 논리 프로브 구성전기·전자 기초실험“논리프로브 구성” 예비보고서실험 목적이번 실험에서는 7404인버터를 사용한 간단한 논리프로브 구성해 보고, 제작된 논리 프로브를 이용한 회로 ... , 330Ω 저항 3개, 2㏀ 저항 1개, 1㏀ 전위차계가 필요하다.1.위 그림의 핀 번호를 참고하여 아래그림과 같이 논리프로브 회로를 구성한다. LED와 신호용 다이오드는 방향
    리포트 | 3페이지 | 1,000원 | 등록일 2020.01.01
  • 판매자 표지 자료 표지
    양자컴퓨터 교내 연구 제안서 및 사업계획서 (Quantum computer proposal report)
    디지털 컴퓨터의 속도 성능이 한계를 보이고 있는 가운데 고성능 컴퓨터 개발을 위해 양자 컴퓨팅기술이 주목 받고 있음. 그 중 높은 에너지 효율과 내구성을 가진 가역 논리 ... 게이트를 활용한 연구가 활발히 증가되고 있음.□ 가역 논리 게이트를 활용하여 구현한 양자 회로는 비가역 회로보다 높은 내구성 및 에너지 효율을 기대할 수 있고 가역성을 부여하면 회로 ... 를 통합 할 수 있어 공간 비용에도 큰 이점을 가짐.□ 양자 컴퓨터는 기존에 의존된 수학의 복잡성을 가볍게 계산하는 특성으로 인해 하드웨어에서의 보안 설계가 중요시 되고 있음. 따라서 이와 연관된 가산기, 쉬프트, 코드 변환기 등을 양자 회로로 설계하는 연구가 필요함.
    리포트 | 5페이지 | 3,000원 | 등록일 2019.10.22 | 수정일 2019.10.29
  • FPGA 실습 보고서 (Digital Systems Design Using Verilog)
    디지털 논리회로의 작성은 c언어와 유사한 형식으로 작성된다. c언어에서는 변수 선언을 통해 함수의 입력 값을 결정한다면 verilog에서는 input ,output 값을 먼저 ... 선언함으로써 대체한다. Verilog의 편리한 점은 회로를 시각적으로 확인할 수 있고 testbench를 통하여 실제 입력값들을 디지털회로에 입력시켜보고 출력값을 확인할 수 있다는 점이다. ... 의 전가산기는 두개의 반가산기와 하나의 OR로 구성된다.입력이 3개 존재해서 (입력 A, 입력 B, 자리올림수 입력) 모두 대등하게 동작한다. 하지만 회로상에서 3개 입력이 대칭
    리포트 | 15페이지 | 2,000원 | 등록일 2020.03.12 | 수정일 2020.03.14
  • 기초전자실험 1주차 레포트 (오실로스코프 및 전자기기 사용법)
    은 범위까지 가변→회로시스템의 주파수 특성을 분석하는데 좋은 신호제공기가 됨.-디지털회로에서 입력파형으로 요구되는 TTL 및 CMOS 논리 신호도 제공하여 분석이 용이.구조함수발생기 ... 가 입력단자부터 A/D-컨버터까지는 아날로그로, A/D-컨버터로부터 디스플레이 유닛까지는 디지털로 전송된다.- 파형을 샘플링한 후 아날로그-디지탈 컨버터(ADC)를 써서 측정한 전압 ... 기초전자실험 예비레포트실험목적 : 오실로스코프, 함수발생기, 브레드보드, 파워서플라이, 디지털 멀티미터(DMM) 에 대한 전반적인 이해(정의와 원리)를 통한 사용법을 익히기 위함
    리포트 | 5페이지 | 1,000원 | 등록일 2020.05.29 | 수정일 2020.11.20
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 22일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감