• AI글쓰기 2.1 업데이트
  • 통합검색(1,055)
  • 리포트(933)
  • 논문(61)
  • 시험자료(50)
  • 방송통신대(6)
  • 서식(2)
  • 자기소개서(2)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"decoder encoder" 검색결과 121-140 / 1,055건

  • [멀티미디어] ADPCM Encoding & Decoding 알고리즘 구현(VC)
    요구 사항1.Linear PCM 데이터를 ADCPM으로 인코딩하는 프로그램을 구현하여라.-입력 데이터 : ASCII파일로 된 연속된 부호 있는 16비트의 상수값-출력 데이터 : ASCII 코드 형태로 IMA-ADPCM 인코딩된 부호있는 4비트의 상수값2.인코딩한 ADC..
    Non-Ai HUMAN
    | 리포트 | 2,000원 | 등록일 2007.02.01
  • C언어로 짠 허프만 encode,decode 프로그램
    // 허프만 Encoder 함수 void HuffmanEncoder(FILE *fi){FILE *fo1;int cur,b,i;unsigned int length, enc
    Non-Ai HUMAN
    | 리포트 | 2,500원 | 등록일 2005.04.28 | 수정일 2014.03.28
  • [공학]VHDL - MUX, DEMUX, COMPARE, ENCODER, PARITY, DECODER
    Library ieee; use ieee.std_logic_1164.all; entity muxp is port(s : in std_logic_vector(2 downto 0); I0, I1, I2, I3..
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2006.11.04
  • [디지털통신2]Matlab Design_(7,3) Linear Block Code Encoding and Decoding
    Block-Level Design Using MATLAB- (7,3) Linear Block Code Encoding and Decoding -제출일전공학번이름1 ... ⇒(Encodeing)⇒∴ ⇒ Codeword의 총개수 : 23=8개☞ 모든 가능한 k-bit의 메시지를 n-bit의 코드를 할당해야하므로 2k개 만큼 코드워드가 존재한다.(c ... encode it.① (7,3) Linear block code를 만들기 위한 코드%% Parameter settingL=10; % Number of iterationsG=[1 1 1
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 2,000원 | 등록일 2009.01.03
  • 해밍코드 인코더 디코더 설계 및 성능 분석(hamming code encoder decoder performance analysis)
    교수님 성함Hamming code encoder/decoder design and performance analysis영문이름교수님영문 성함AbstractHamming code ... ) accompanied by the change of SNR, we used the random binary generator module, hamming encoder/decoder ... 에 알고 있던 Error Eb/No의 그래프와 비교 한다.4. 8bit의 데이터를 받는 Hamming encoder와 12bit의 Hamming code를 만드는 decoder
    Non-Ai HUMAN
    | 리포트 | 24페이지 | 100,000원 | 등록일 2009.12.06 | 수정일 2015.01.12
  • [전자회로실험] Decoder, encoder와 multiplexer, demultiplexer
    #10 Decoder, encoder와 multiplexer, demultiplexer10.1 실험목적1. Decoder, encoder와 multiplexer ... 각종 플렉서를 만들 수 있는 능 력을 키운다.10.2 관련이론(1) 디코더(Decoder)디코더란 2진 부호와 같은 BCD 코드를 부호가 없는 형태로 바꾸는 변환회로를 말 ... 의 결합에 의하여 나타낼 수 있는 출력은 다음 표에 표시되고 있다.ABD0D1D2D3001000010100100010110001(2) 인코더 (Encoder)인코더는 부호화되지 않
    Non-Ai HUMAN
    | 리포트 | 26페이지 | 1,000원 | 등록일 2003.10.25
  • [워드2003][디지털통신2]Matlab Design_(7,3) Linear Block Code Encoding and Decoding
    Block-Level Design Using MATLAB- (7,3) Linear Block Code Encoding and Decoding -제출일전공학번이름1 ... of codewords QUOTE ⇒(Encodeing)⇒ QUOTE ∴ QUOTE ⇒ Codeword의 총개수 : 23=8개☞ 모든 가능한 k-bit의 메시지를 n-bit의 코드 ... vectors and encode it.① (7,3) Linear block code를 만들기 위한 코드%% Parameter settingL=10; % Number of
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 2,000원 | 등록일 2009.03.01
  • [전자회로실험] Decoder, encoder와 multiplexer, demultiplexer(결과)
    실험 #10 Decoder, encoder와 multiplexer, demultiplexer실험 결과1> 다음 그림 10.1의 회로를 구성하고 진리표를 실험에 의해 완성하라.-1 ... - 8선 decoder를 설계하라.2) 3선 - 8선 encoder를 설계하라.3) 실험결과를 이용하여 그림 10.1의 회로의 기능을 설명하라.74LS42는 4-LINE BCD ... 한다고 한다.-3 7442는 4비트의 BCD를 10진수(Decimal)로 바꾸어 주는 Decoder이다. 출력단자에 Bubble이 있으므로 출력은 Low가 된다.입력이 0000이
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 1,000원 | 등록일 2003.10.25
  • [디지털공학][전자공학]디지털실험공학 - 9.DecoderEncoder
    9. DecoderEncoder결과보고서제출일자조성 명1. 개 요? EncoderDecoder 의 원리 및 구성 방법을 익힌다.? 7-segment 숫자표시기의 사용방법 ... 토 사 항1. BCD to 7 segment code vonverter를 Karnaugh Map 을 이용하여논리식을 구하라.# 첨 부2. 전가산기 회로를 decoder 와 OR gate 를 사용하여 구성하라.# 첨 부# 첨 부
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2005.12.20
  • [전기전가](디지털논리회로실험)인코더, 디코더 (Encoder, Decoder) 결과 보고서
    인코더, 디코더 (Encoder, Decoder) 결과1. 실험 결과(1) Verilog 코드module PRIORITY_ENCODER_8_TO_3 (D, XYZ ... = X'YZ'D3 = X'YZD4 = XY'Z'D5 = XY'ZD6 = XYZ'D7 = XYZ위의 코드에서 입력값과 출력값을 바꾸면 된다.module decoder(XYZ, D
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,000원 | 등록일 2007.08.14
  • [논리회로설계실험]논리회로설계실험 제 9장 EncoderDecoder
    제 9장 EncoderDecoder1. 실험 목적각종 인코더와 디코더를 구성하여 인코더와 디코더의 기능 및 동작원리를 실습을 통하여 이해한다.2. 관련 이론⑴ 인코더 ... *************0011401005010160110701118100091001⒝ 진리표[그림 9-2] 10진 to BCD Encoder 논리회로⑵ 디코더(Decoder ... 0001000000000101000000010001000000110001000010000001000101000001001100000001011100000001[표 9-4]⑸ 2 to 4 Decoder와 4 to 2 Encoder
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 2,000원 | 등록일 2005.04.09
  • [계측공학] Encoder / Decoder - Ⅱ(LED및 7세그먼트LED제어)
    계측공학 실습 보고서━━━━━━━━━━{실험제목Encoder / Decoder - Ⅱ실험목적74LS148 엔코더를 이용하여 LED 및 7-세그컨트 LED를 제어해본다.준 비 물 ... 111001010111000011110{. 74LS148 Encoder{{INPUTSOUTPUTSEI01234567A2A1A0
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2003.11.24 | 수정일 2017.06.03
  • [디지털회로실험] 디코더와 인코더(Decoder and Encoder)
    실험1. 디코더와 인코더(Decoder and Encoder)1.실험이론(1)디코더(Decoder)디코더란 2진 부호와 같은 BCD 코드를 부호가 없는 형태로 바꾸는 변환회로 ... ) TTL 7432(quad 2-input OR gate)TTL 7447(BCD to 7-Segment decoder) TTL 7490(decade counter)수치 표시기 ... .1960.1850.1844.9550.1840.1810.1810.1804.948표 7-5(2)그림 7-6의 BCD to Decimal Decoder 회로를 결선하고 입력 A, B, C
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2001.10.30
  • 판매자 표지 자료 표지
    부산대 어드벤처디자인 결과보고서 8주차 A+보고서 1등보고서
    어드벤처 디자인결과보고서 8실험 제목 : Multiplexer, Decoder, Encoder1. 실험 목적MUX/DEMUX와 Encoder/Decoder의 구조와 동작 원리 ... Encoder란 2^n개의 입력과 n개의 출력 조합으로 구성되어 있는 논리회로이다.[그림 3] Encoder 블록도Decoder는 인코더와 정반대 기능을 수행하며 n 비트의 2진 코드 ... 입력에 의해 최대 2^n개의 출력이 나오도록 한다. 예시로 2x4 디코더를 위한 논리 회로는 다음과 같이 작성할 수 있다.[그림 4] 2x4 Decoder 논리 회로3. 실험 부품
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,500원 | 등록일 2022.03.24
  • 아주대학교 논리회로실험 / 5번 실험 Decoder & Dencoder 예비보고서
    5번 실험 예비보고서전자공학과 / 학년 / 학번 : / 이름 :날짜 : / 담당조교님 :실험 5. Decoder & Encoder1. 회로 결선도※ 이때, 다이오드 출력에는 저항 ... /wiki/Encoder? wikipedia, (2020.10.02.), (2020.10.02.), ‘Decoder’, https://en.wikipedia.org/wiki ... HC148 (8-3 Line Priority Encoder)논리 다이어그램진리표InputOutputE1I0I1I2I3I4I5I6I7A2A1A0GSE01xxxxxxxx
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 판매자 표지 자료 표지
    [A+보장]한양대에리카A+맞은 레포트,논리회로설게및실험,Decoder & 7-segments 실험
    들을 정해진 특정 형태로 나타내는 규칙을 간단히 의미한다. 2. Decoder디코더에 관한 개념은 컴퓨터에서 정보의 이산적인 양은 2진 코드들로 나타내어진다. n bit 2진 코드 ... 는 코드 정보의 특정한 요소들로 표현되는..3. EncoderEncoderDecoder와 반대로 동작하는 디지털 기능이다. 주로 입력 신호를 컴퓨터에서 사용하는 언어인 ... 가 읽을 수 2진수로 바꿔주는 것이 인코더의 역할이다. 반대로 2진수에서 10진수로 바꾼 다음 사람이 읽을 수 있도록 하는 건 디코더의 역할이다.3-1) 4x2 encoder4x2
    리포트 | 7페이지 | 2,500원 | 등록일 2024.05.21
  • 판매자 표지 자료 표지
    논리설계 및 실험 4 레포트 (디코더 & 엔코더)
    리포트 | 13페이지 | 2,000원 | 등록일 2025.01.20
  • 딥러닝 자연어처리 Transformer 트랜스포머 설명 ppt
    TransformerTransformer RNN 사용하지 않음 - Parallelization EncoderDecoder 구조 빠른 학습 , 성능 향상RNN based ... encoder decoderRNN based encoder decoder with attentionAttention is all we needPositional encoding ... Word embedding + positional embedding Sine, Cos 함수 사용 -1 ~ 1 Encoder decoder 에 각각 적용Self attentionSelf
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 1,000원 | 등록일 2021.03.31 | 수정일 2022.12.02
  • 판매자 표지 자료 표지
    건국대학교 무선통신공학 7주차 과제
    matlab2. Encoder, Decoder을 simulink 활용하여 simulation[CyclicEncoder 부분]% Encoder for Systematic (N,K
    리포트 | 8페이지 | 4,500원 | 등록일 2024.12.26
  • 결과보고서4_디지털통신2_Block Coding and Decoding
    와 HAMMING코드를 선택할 수 있고 정해진 규칙의 OTHERS를 사용할 수도 있다.BLOCK CODE DECODER기능BLOCK CODE ENCODER모듈을 사용해서 인코딩 ... 된 프레임록 하고 변조되는 데이터의 싱크를 알려주는 FS 신호를 BLOCK ENCODER과 BLOCK CODE DECODER에도 연결해준다. 이것에 맞춰서 인코딩과 디코딩 과정 ... 이 이루어진다.PCM DATA를 BLOCK CODE ENCODER에 입력해준다. 모듈의 설정에 따라 Parity 혹은 Hamming 인코딩이 이루어진다.인코딩된 결과 값을 DECODER
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 전문가 요청 쿠폰 이벤트
  • 2025 마이해캠리포트
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 12월 17일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
6:01 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감