• 통합검색(65)
  • 리포트(65)

"7-segment 구동실습" 검색결과 61-65 / 65건

판매자 표지는 다운로드시 포함되지 않습니다.
  • 엘레베이터 제어기 vhdl로 구현 단일 엘리베이터
    를 제거하기 위하여 엘리베이터 의 상황이 사용자 가시적으로 보인다면 이런 심리적 불안요소가 제거 될것이다. 이를 위해 엘리베이터 위치를 표시하기 의한 7-segment, 내부 층 표시 ... downto 0);-- buz is soundbuz :out std_logic;-- output 7segment for elevator location?seg_floor : out ... enserfast : in std_logic_vector(3 downto 0);-- 스텝모터를 구동하기 위한 출력motor_data : out std_logic_vector(3
    리포트 | 17페이지 | 1,500원 | 등록일 2008.02.26
  • 음성인식엘리베이터설계
    egment(s); // 7-Segment 층 표시floor=s-1;}elevator_height_desired = ONE_FLOOR * floor;if(move==1){n=n%8;P3if(n ... 공학인 양성- 수학, 물리 등의 기초과학지식을 바탕으로 엘리베이터의 정확한 위치인식 및 층간이동을 제어할 수 있는 기술 구현PEO II실험실습강화를 통한 현장적응능력 배양- 어떤 ... ) 스텝 모터 설정- 1,2상으로 설정하여 소음을 줄이고 층간이동을 할 때 밀림 현상을 줄이고 정밀성을 높였다.3) 회로도4) 프로그래밍(C언어 소스)#include #define
    리포트 | 17페이지 | 2,000원 | 등록일 2009.09.07
  • 디지털 시스템 및 논리회로 프로젝트 보고서
    를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.7세그먼트 표시기는 애노드 공통형(common-anode type)과 캐소드 공통형(c ... 7세그먼트 표시기를 구동할 수 있는 출력을 만들어내도록 설계하는 경우이다. 이 경우 그림 2-1(b)와 같은 진리표에 따라 디코더 회로를 설계할 수 있다. 12시간 표시기 디코더 ... ‘디지털 시스템 및 실습 프로젝트’목 차▣프로젝트의 목적- 2▣디지털 시계의 논리회로도 분석 및 개요- 2 ~ 4▣시계에 사용되는 재료 및 각각의 IC의 분석- 5 ~ 13▣회로
    리포트 | 49페이지 | 3,000원 | 등록일 2008.02.10
  • [회로이론]Z80 processor 작품 보고서
    계산기4.1) 동작설명: 스위치 8개와 cathode 7-segment 2개를 이용하여 1~4까지의 덧셈연산과 곱 셈연산을 수행한다.4.2) 각 포트의 주소값 및 기타 설정치값- 각 ... 을 구동할 수 있는 Software를 완성하여 LED점멸 제어 및 Dot matrix, 전자계산기를 만들어 봄으로써 μ-com의 전반적인 구성과 동작을 이해한다.학년성명학번공동실습 ... 자성명실습과제명Z80을 CPU로 하는 μ-com의 제작과 이를 이용한 응용회로 구동실습장소실험실명실습기간본인은 학과에서 정한 실험실 관련사항을 준수하여 실습을 수행하고 그 결과에 대한
    리포트 | 18페이지 | 2,000원 | 등록일 2004.10.28
  • [공학계열 교과교육론] CAD/CAM과 교육과정
    유지 회로인터록회로44ON/Off delay Timer 실습카운터 실습ON/Off delay Timer 실습카운터 실습45Trainer를 이용한실습7segment 제어하기7s ... 에서 수치제어 공작기계와 CAM 시스템의 사용은 필수적이며 상용화 되었다. 따라서 기계분야의 가공을 할 사람들이 이것들에 대하는 배운다는 것은 매우 중요한 일이다. 공작기계Ⅱ는 이론-실습 ... (2학년)실습번호실습 과제명분 야(세부실습명)실습목적배당시간학년실습기간실습시간1CNC 선반프로그램의기초좌표계, 지령방법, 프로그램작성시스템 구성242학년3/2-3/232422D 곡
    리포트 | 10페이지 | 1,000원 | 등록일 2003.10.28
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 05월 23일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:28 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감