• 통합검색(831)
  • 리포트(482)
  • 논문(321)
  • 시험자료(20)
  • 방송통신대(4)
  • 서식(3)
  • 자기소개서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"input-output analysis" 검색결과 661-680 / 831건

  • OP AMP 회로
    input (V) > output(V)=0Vin = Vout?If input (V) < output(V)=0n = 0 (ground)즉, 역방향일 때는, 전압이 출력되지 않아 그 ... 파로 만들어내는 이번 회로를 만들면서, 각 회로에 상호작용에 대해 이해할수 있었다.7.Analysis이번 실험에서 OP-amp를 이용하여 다양한 파형을 생성할 수 있었다. 첫 번 ... 전자공학 실험11.Title2.Name3.AbstractOP-AMP의 여러 가지 특성을 이용하여 LM348을 이용하여, 삼각파, 구형파, 사인파를 발생하는 회로를 구현하여 동작
    리포트 | 21페이지 | 2,000원 | 등록일 2010.10.13
  • 생산관리의 기본적개념과 생산시스템에 관한 보고서
    분석방법(Time-series Analysis), 원인·효과 분석기법(Casual Relationships), 모의실험(simulation)*2-1. 생산시스템의 설계(3) 적정 ... 의 개선*1-1. 생산관리의 중요성(1) 기업활동의 근간 · 중심에는 고객이 원하는 제품 또는 서비스를 만드는 생산활동(Production)이 존재 · 서비스업↑ , 서비스 산업 생산 ... 관리에 대한 관심↑ (2) 생산 시스템을 통한 생산 1. 투입물(Input) : 유형자산 / 무형자산 2. 가공과정(Transformation) : 생산활동의 핵심 3. 산출물
    리포트 | 16페이지 | 2,500원 | 등록일 2010.11.30
  • 신호발생기
    input (V) > output(V)=0Vin = Vout?If input (V) < output(V)=0n = 0 (ground)즉, 역방향일 때는, 전압이 출력되지 않아 그 ... 회로에 상호작용에 대해 이해할수 있었다.6.Analysis이번 실험에서 OP-amp를 이용하여 다양한 파형을 생성할 수 있었다. 첫 번째 op-amp에서는 구형파를, 세 번째 amp ... 전자공학 실험11.Title2.Name3.AbstractOP-AMP의 여러 가지 특성을 이용하여 LM348을 이용하여, 삼각파, 구형파, 사인파를 발생하는 회로를 구현하여 동작
    리포트 | 20페이지 | 3,000원 | 등록일 2010.09.12
  • Stabilized circuit Design(BFP640사용)
    output을 다 안정화 시켜 줄 수 있음 ※ 주의할 점 : 너무 큰 값의 저항을 달아주게 되면 손실이 크기 때문에 성능이 저하될 우려가 있음 - 어느 정도 발진이 일어나 ... SpecificationObject 0 ~20 GHz까지의 stabilized circuit 구현 Specification - Device : BFP640 - bias : 2[V], 20 ... [mA]관련 이론 - Stability증폭기 설계에 있어서 매우 중요하게 고려되어야 할 요소 S-parameter, matching network, termination 등에 의해서
    리포트 | 13페이지 | 5,000원 | 등록일 2009.01.13 | 수정일 2021.03.11
  • [토끼] 기초전자공학실험1_Encoder_Decoder_MUX
    에 대하여 살펴본다.4) 실험1을 통하여 4 to 1 MUX의 실제 동작을 확인하고 익힌다.5) 실험2를 통하여 Encoder를 응용하여 스위치를 사용한 7-segment실제동작 ... 들과 반대값을 갖는다. 그림1에 입력선이 2개, 출력선이 22=4개인 2-to-4디코더를 나타내었다. 그림에서 예를 들어 A , B 입력 값이 0 , 1 일 경우에는출력선 D1만이 1 ... 이고 나머지 출력선 D0, D2, D3은 모두 0이 되며, 나머지 입력값의 조합에 대해서도 한 출력선이 나머지 출력선들과 다른 값을 가짐을 확인할 수 있다. 2-to-4 디코더2
    리포트 | 40페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2020.07.10
  • Algorithm Analysis - ACM-ICPC 소개
    등 / 49 등의 성적을 거둠.10ACM-ICPC World Finals11Let's Solve Problems TogetherProblem Analysis2009 년 ICPC ... 은 노선도, 두 번째 그림은 나쁜 노선도 - Input 지하철 역의 수 N ( 1 = N = 20 ), 역의 일 차원 좌표 x ( 0 = x = 1000 ) 해당 역의 가로 길이 r ... (0 r = 1000 ) - Output 조건에 맞는 좋은 노선도가 존재하면 Yes, 그렇지 않으면 No 를 출력한다 How to Solve it????14Problem
    리포트 | 20페이지 | 2,000원 | 등록일 2010.01.31
  • 연료전지 시스템 설계
    : 100%※ 수소발생 개질기 최적조건 by Mobel Analysis tool● 필요한 소비전력 ☞ 250 kW-× 회로 효율 (0.95) × BOP 효율 (0.80) = 250kW ... )BlockProduct(kmol/hr)STACK 1630R-Stoic온도 : 1500 K압력 : 150 atmWATER294516852000231560005055- OUTPUT(1) 열량Q ... atmWATER389013701685263050554110- OUTPUT(2) 열량Q(Kcal/sec) = 13777.921시스템FEED(kmol/hr)BlockProduct(kmol/hr
    리포트 | 10페이지 | 3,500원 | 등록일 2009.10.22
  • 영어 4skills 정리 (the cambridge guide to teaching English to speakers of other language 요약)
    (학습⑴Audiolingualism의 출현청화식 교육법은 행동주의 심리학에 기초하고 있기 때문에 input(여기서 listening) - output(여기서 speaking) 이 중요 ... 아야 한다.「Practice」교실에서 어떻게 가르쳐야 하는가?효과적인 listening 지도에 영향을 미치는 요인들-실제 세계와 관련이 있고, 재미있고, 약간 레벨이 높은 input 주기 ... 일어난다는 의미와 함께, 듣기 기능이 없으면 말하기도 의사 소통으로서의 기능을 제대로 갖지 못한다는 것을 의미한다.Top-down 하향식 듣기 활동Bottom-up 상향식 듣기 활동청자
    리포트 | 14페이지 | 2,500원 | 등록일 2010.04.15
  • 소비자 구매행동유형별 소비자 형태에 관한 연구
    들이 조사대상자들을 직접 방문하여 면담을 통해 설문을 작성,회수하였다.수집된 자료에 대한 통계적 분석은 요인분석(Factor Analysis),분산분석(ANOVA:Analysis of ... response)를 발견하고 인간의 인지및 학습과정이 하나의 연상과정이며 대부분의 인간반응의 연상에 의해 조건지원지는 것으로 파악했다.오늘날 학습모델은 인간행동의 자극-반응모델로 통합 ... .Sheth,op.cit.in Dorothy Cohenconsumer behavior , ibid ,p.10즉 소비자행동에 영향을 미치는 여러가지 변수를 ① 투입변수(input
    리포트 | 23페이지 | 1,500원 | 등록일 2010.06.28
  • 교과서 분석 (Textbook Analysis)
    what content?A. FORM- input to learnerListeningTextPicture- output by learnerspoken formwritten form ... : 200651002 교육학과제 출 자:TEXTBOOK ANALYSIS: (주)도서출판 디딤돌1. Pre-assessment(1)Goals1)Goals of the curriculum?교과 ... Textbook Analysis(주) 도서출판 디딤돌(CD, Activities 포함)제 출 일: 2010. 10. 14. 목과 목: 교과교재연구담당교수님:학번/학과
    리포트 | 13페이지 | 3,000원 | 등록일 2010.11.13
  • 6시그마 종이헬기 실험
    기프트 종이 칼 클립 자 스톱워치 Process 시작 드로잉 컷팅 조립 검사 재검사 끝 출고 Y Y N 고객 ( 방송국 ) 모형 종이비행기 Supplier Input Output ... 재검사 끝 출고 Y Y N Supplier Input Output Customer D M A I C2. Measure_Y Y 정보 목표 (Target) 규 격 측정시스템 운영 ... Six Sigma - Group No.3 Group Member : 장충만 , 강문홍 , 문현주 , 이성진 , 황인표CONTENTS 1. Define 2. Measure 3
    리포트 | 60페이지 | 5,000원 | 등록일 2011.01.18 | 수정일 2018.02.03
  • VHDL MUX and DEMUX(VHDL Code post lab 입니다)
    ;entity two_x_one isport( i0, i1, sel : in std_logic; -- input signal i0, i1 and select signal selz : out ... std_logic) ; -- output signal zend two_x_one;architecture Behavioral of two_x_one isbeginz LED is ... _vector( 2 downto 0); -- address inputQ : out std_logic_vector( 7 downto 0) -- output);end demux
    리포트 | 10페이지 | 2,000원 | 등록일 2008.09.28
  • 건축 환경부하 저감
    (Process Analysis)과 산업연관방식(Input-output Analysis), 그리고 이 두가지를 조합한 조합방식의 3가지로 구분할 수 있다? 개별적산방식이 방식 ... 의 환경관리·감사제도인「EMAS」(EU의 환경관리·환경감사 스킴=ECO-Management & Audit Scheme)와 환경에 관한 영국규격 BS775(Specification
    리포트 | 10페이지 | 1,500원 | 등록일 2011.04.26
  • 연산증폭기 동작원리 이해 및 기본 회로 특성에 관한 실험 레포트
    이 값을 이용하여 전압이득을 구해보면이론적으로 생각했던 것과 비슷하게 거의 5V/V의 전압이득에 가깝게 나오고 있음을 확인 할 수 있습니다.6. Analysis(1) OP ... 습니다전류값을 구해보고, 이를 규격값과 비교해 보시오.HA17741의 Input bias current : 75nA(Typical값) / 500nA(MAX값) - Datasheet값 ... HA17741의 Input offset current : 18nA(Typical값) / 200nA(MAX값) - Datasheet값① 실험 DataR1R2R3V_2V_3I_3I_2V
    리포트 | 40페이지 | 3,000원 | 등록일 2010.06.11
  • cadence_tutorial
    pressing c; Press p to place pins for input, output, and inputoutputNote that vdc is used as a dc ... transistorSlide **Then save the outputs by running Outputs- Save all… A window will pop up. Click on all for the ... analysis choose ac and set start to 1Hz and stop to 1THzAC simulationRun the simulation Run Results
    리포트 | 31페이지 | 2,000원 | 등록일 2008.12.01
  • Critical analysis about the tasks faced by the operations managers responsible for an International Airport
    activities required to transform inputs into outputs (products and services).Outbound Logistics ... ’ organisation an international airport has ‘desired outputs’. In order to achieve these outputs, inputs have ... process. (Slack, 2004:98)Each of the above operations or processes has their own inputs and outputs
    리포트 | 19페이지 | 3,000원 | 등록일 2007.12.12
  • [공학기술]디지털 시스템 설계 3장 연습문제(일부)
    + x'yz'P3.8 Design a circuit that inputs a 4-bit number. the circuit outputs a 1 if the input number ... number of inputs) as possible.P3.19 Convert the following circuit as is to use only 2-input NOR ... gates.P3.20 Convert the following full-adder circuit to use only eleven 2-input NAND gates.P3.21
    리포트 | 8페이지 | 3,000원 | 등록일 2007.06.11
  • 세스코(CESCO) 기업조직 분석 레포트 (경영조직론)
    , 백화점부터 가정집, 국밥집 등 매우 다양하다.’ 즉, Thomson의 기술 Matrix의 구조에서 Intensive 영역에 포함된다. Input/Outputs 이 표준화되지 않 ... 의 여러 가지 해충들로 인한 표준화 되지 않은 Input과 완전한 박멸, 수개월 후의 다시 나타날 수 있는 해충 등 표준화되지 않은 Output으로 구성 ... 성을 느끼게 해주고 있다.II. CESCO(세스코) 조직 분석1. 구조화 이론 - Sturucturation Theory (Anthony Giddens)그 이전까지는 조직의 구조는 만들
    리포트 | 7페이지 | 1,000원 | 등록일 2009.01.02
  • 회귀분석(단순,다중)
    Regression Analysis March, 2009상관분석 vs 회귀분석체중이 수측기 혈압과 관련성이 있는가? (Correlation analysis ... )SBPWeightWeightSBPMIDM체중이 수측기 혈압에 영향을 주는가? (Simple Regression analysis) 체중이 SBP, MI, DM에 영향을 주는가? (Multiple ... Regressiom analysis)회귀분석의 개요(1)기본개념12목적1. 회귀식의 도출 : 종속, 독립변수간의 상호 관련성을 나타내는 식 2. 결정계수 값의 도출 : 독립변수가 종속변수
    리포트 | 32페이지 | 2,000원 | 등록일 2009.05.22
  • 정책 대안 비교 평가
    성은 투입(input) 대 산출(output)의 비율이다. 자원의 최적 배분을 도모하는 능률성개념을 이론적으로 뒷받침하는 기준으로서, 흔히 파레토 최적과 칼도-힉스 기준이 논의되고 있 ... (Cost-Benefit Analysis)- 비용-편익분석은 정책대안의 능률성뿐 아니라, 정책대안의 비교·평가를 위한 기본논리를 제공해 줌으로 인해 정책대안의 분석에 가장 많이 활용 ... 하고 최선의 대안을 선택하는 단계이다.② 비용-효과분석(Cost-Effectiveness Analysis)- 비용-효과분석은 비용-편익분석과 기본논리는 동일하지만, 다음과 같은 두 가다.
    리포트 | 14페이지 | 1,500원 | 등록일 2010.11.23
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 06월 12일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감