• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(1,345)
  • 리포트(1,054)
  • 논문(219)
  • 시험자료(51)
  • 자기소개서(15)
  • 방송통신대(5)
  • ppt테마(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"signal processing" 검색결과 481-500 / 1,345건

  • 판매자 표지 자료 표지
    [의공학실습]AFM의 기능 및 조작방법
    tip의 고유진동수를 찾는다. 이때 질량과 고유진동수는 반비례한다.(캔틸레버 튜닝)3) signal이 안정적일 경우, 샘플을 샘플 스테이지에 올려놓고 tip을 샘플 표면에 근접 ... 의 morphology나 운동성9) Synaptic release나 신호변환 process10) 생체막의 미세구조11) 생물시료의 탄성도 등 각종 생물연구분야 / 유전자 시료의 초미세 조작기술3
    리포트 | 5페이지 | 2,000원 | 등록일 2017.09.03 | 수정일 2020.08.04
  • 시스템프로그래밍 Lecture4,5 linux command, string
    는 명령어입니다. 여기에 ?nr이라는 option을 사용하여 해당 문자열이 파일에서 몇 번째 라인인지 검색하여 나타내줍니다.11) Find out what processes exist ... 의 모든 프로세서에 대한 정보를 출력하였다.?12) "ps -ef" shows all the processes in the system. How do you know which ... which processes belong to you. Use "tty" for this purpose.$ tty : 현재 사용하고 있는 터미널명을 표시하는 명령어이다.tty 명령
    리포트 | 18페이지 | 1,000원 | 등록일 2015.01.04 | 수정일 2015.01.25
  • 8주차 결과 보고서 클럭 분주기
    -- 설계의 실제 동작 부분signal clk_out_cnt : std_logic_vector(3 downto 0);-- clk가 몇 번 상승하는지 count를 해야하므로 내부 ... signal clk_out_cnt로 선언한다.여기에서 '10'분주기를 설계하므로 cnt의 크기는 절반인 '5', 즉, 0에서 4까지만세면 되기 때문에 3Bit로 충분하다. 만일 10 ... 분주기가 아니라 더 큰 분주기를 사용한다면 벡터의 크기도 증가시켜주어야 한다.beginprocess(clk,rst) -- Input clk , rst에 대한 process 실행
    리포트 | 4페이지 | 1,000원 | 등록일 2014.03.26
  • Operating System Concept Ch6
    이 이루어 질때마다 value 값을 증가 시키고 이것이 최대수치에 달 했을 때는 semaphore_wait를 그리고 연결이 끊어졌을 때는 semaphore_signal을 실행 ... . Identify the race condition프로세스들이ㅐ number_of_processes에 접근하는 것b. number of processes에 접근하는 것이 크리티컬 섹션 ... 에 들어가는 것이므로 이를 포함한 변수 위아래로 acquire과 release를 써줘야 합니다.void allocate_process(){......acquire();++number
    리포트 | 5페이지 | 1,000원 | 등록일 2016.04.12
  • 실험7결과 Shift Register
    signal을 서로 연결하여 위아래서 아래 방향으로 데이터가 빙글빙글 계속 움직이는 Circular shift register를 구성하였다. Preser Enable과 Clear ... signal을 조작할 필요가 있었다.2. 고찰1) 6 bit shift register이전 실험에서 구성한 F/F을 여러 개 이어 shift register를 구현하는 실험이 ... 다. Clock signal의 영향을 받으며 데이터를 저장/출력하는 F/F가 직렬로 이어져 신호를 이동시키는 모습이다.2) 5 bit shift registerF/F역할을 하는 IC
    리포트 | 6페이지 | 3,000원 | 등록일 2014.05.13
  • 마케팅 전략 - 뱅크 샐러드
    보다 다양한 업체들과 제휴하여 더욱 다양한 서비스 및 이벤트 기획유통 (Place) 제품 (Product) 과정 (Process) 14 경쟁력 분석 - 7Ps 흩어진 금융 자산과 실물 ... ( 평점 리뷰 수 ) 시그널 가계부 브로콜리 가계부 비주얼 가계부 핀크 뱅크샐러드 ※ 2019 년 5 월 20 일 구글 플레이 기준Thank You!{nameOfApplication=Show}
    리포트 | 19페이지 | 2,000원 | 등록일 2020.03.18
  • 음향방출 신호분류에 의한 SM45C 시효처리재의 인장변형 해석
    한국기계기술학회 이장규, 우창기
    논문 | 8페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • 선삭가공시 전류신호(Irms)를 이용한 공구마모 진단에 관한 연구
    한국기계기술학회 정진용, 서한원
    논문 | 5페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • [통신시스템][프로젝트과제] SSB를 이용한 노이즈가 있을 경우의 변조와 복조
    · SSB DemodulationSSB demodulation 방법으로 coherent detection에 대해 알아보자. Carrier의 frequency와 같은 signal ... noise process를 W(t)라고 할 때 power spectral density는 아래와 같다.
    리포트 | 20페이지 | 7,000원 | 등록일 2014.03.21
  • AR & MA Modeling
    은 결과로 나타났다. 일단 signal1의 계수 a1[0]와 signal2의 계수 a2[0]는 각각 1로 출력되었고, 그 이외의 계수들이 순서대로 출력되었다.1-2. 위에서 구한 계수 ... solution을 통해 구한 MA의 계수는 위와 같은 결과로 나타났다. 일단 signal1의 계수 b1[0]와 signal2의 계수 b2[0]는 각각 1로 출력되었고, 그 이외 ... 을 자세히 작성하기 바랍니다.)Original signal과 AR의 Power Spectral Density의 비교원래의 signal과 Power Spectral Density를 비교
    리포트 | 12페이지 | 2,500원 | 등록일 2013.10.28
  • Fourier Transform & Inverse Fourier Transform
    ] signal의 DFT와 FFT에 대한 각각의 Magnitude response와 Phase responseDFTFFT주어진 수식을 이용하여 f[n] signal을 발생 ... 시켰고, f[n] signal을 각각 DFT와 FFT를 해 본 결과 동일한 magnitude로 512point에서 2048의 값과, 3584point에서 2048의 값을 갖는 것을 확인 ... 할 수 있었다. 하지만 phase에서는 형태가 다소 차이를 보였다.g[n] signal의 DFT와 FFT에 대한 각각의 Magnitude response와 Phase
    리포트 | 10페이지 | 2,500원 | 등록일 2013.10.28
  • 9주차 결과 보고서 7-Segment
    _vector의 형태를 가지고 크기는 6으로 한다.end segment_7;architecture behave of segment_7 is -- 설계의 실제 동작 부분signal c ... lk_500 : std_logic;signal cnt : std_logic_vector(11 downto 0);-- 실제 FPGA보드에서 clk은 4㎒이므로, 이를 500㎐의 크기 ... m) -- Input rstb, clk_4m에 대한 process 실행beginif rstb='0' thencnt'0');clk_500 '0')을 사용한다. 이 구문은 각각
    리포트 | 5페이지 | 1,000원 | 등록일 2014.03.26
  • 판매자 표지 자료 표지
    성인실습) 뇌졸중 케이스, (간호과정 2개: 불안정한 혈당수치의 위험, 운동장애)
    ommon carotid artery(CCA)에서 시행함.1. unobtainable flow signal from both temporal windows maybe d/t ... ~2018/9/5질병진행과정(Process of Disease)관련된 과거력: 없음입원경로: Cerebral infarction을 진단받고 DM 관리하며 PO, IV med중인 자로 8
    리포트 | 20페이지 | 1,500원 | 등록일 2019.04.02 | 수정일 2019.12.10
  • 전류신호를 이용한 이상가공상태 검출ㆍ진단에 관한 연구
    한국기계기술학회 서한원, 정진용
    논문 | 6페이지 | 4,000원 | 등록일 2016.04.01 | 수정일 2023.04.05
  • shell lap A+ Report
    라면 WIFSIGNALED(자식 프로세스가 시그널에 의한 종료인가?)를 통해 체크하여, 조건에 맞도록 프린트문을 출력한다. 이것이 ‘trace08’의 요구사항.특이점 WTERMSIG ... () 는 시그널의 번호를 반환하는 기능을 한다. 단순히 ‘trace08’의 요구사항에 맞게 2를 넣어주어도 상관없으나, ‘trace10’에서 이 분기점에서 시그널 번호 15번을 출력 ... 해야 하기 때문에 08번과 10번을 동시에 처리하기위해 사용합니다.만약 시그널에 의한 종료도 아니라면 그 외는 딱히 출력하는 프린트문 없이 job을 지우고, 분기를 마치
    리포트 | 25페이지 | 5,000원 | 등록일 2015.10.02 | 수정일 2016.01.04
  • 1st_project
    하여 report를 작성하였음을 서약합니다.학 부: Electronic Engineering제출일: 11.09.23 (Fri)과목명: Digital signal processing교수 ... ');%% How long (in second) are the signals? (They have the same length.)length0=length(x0)/fs0length1 ... , 31, 39, ...%% (f) Plot the power spectral density function of the filtered signal.for i=5:8M=i;b
    리포트 | 6페이지 | 2,000원 | 등록일 2012.04.03
  • [논리회로실험] 실험6. ALU kit
    하는 것이 끝이 아니라 실제 Kit에 옮기는 작업이 필요하다. 이번 실험에는 LED와 7-segment로 결과를 나타낸다. 따라서 결과를 LED에 표현하기 위한 signal과 7-s ... egment에 표현하기 위한 signal이 필요하다. 따라서 연산이 끝나면 연산의 결과가 LED와 7-segment에 나타날 것이다.② Describe how you solve우선 ... 의 자리를 나타내는 SGE2_COM3,SGE2_COM2를 선언하고, LED에 나타낼 led를 선언하고 마지막으로 7-segment에 나타낼 각각의 signal을 output으로 선언
    리포트 | 25페이지 | 2,000원 | 등록일 2014.03.22
  • 심리학개론-3 감각과 지각
    (absolute thresholds): 자극을 탐지하는데 필요한 최소한의 자극강도(감각확률 50% 지점)- 신호탐지(signal detection): 자극의 강도 외에 심리적 상태 ... ): 자극에 노출하면 민감도가 약해짐# 신호탐지 이론(signal detection theory): 역(threshold) 개념에 의문을 가짐, 자극만 제시 불가능하며 항상 잡음 ... (parallel processing): 시각을 색, 깊이, 운동, 형태 등 분할하여 동시에 처리함- 색채시각┌ Young-Helmholtz의 삼원색설(trichromatic theory
    시험자료 | 4페이지 | 1,500원 | 등록일 2016.05.17
  • 현대사회와정보보호 과제10 A+
    . For example, the date for sending the final RFP to vendors is a milestone because it signals that ... : Phased implementation is a changeover process that takes place in stages.As an example, think of a
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.22 | 수정일 2018.10.22
  • 미국 대학원 석사 합격 Statement of Purpose (SOP)
    my knowledge.I believe that communication and the signal processing is a broad area, which can be ... upplement body tissue using signal processing. Currently, investment in medical engineering is low in ... utilized in biomedical engineering. In particular, I would like to research connectivity of signal between
    자기소개서 | 2페이지 | 5,000원 | 등록일 2012.02.03
  • 프레시홍 - 추석
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 21일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:30 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감