• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(146)
  • 리포트(133)
  • 자기소개서(5)
  • 논문(4)
  • 시험자료(2)
  • 방송통신대(2)

바로가기

방송통신대 - 2025 방송통신대 리포트 및 과제물 업데이트, 중간고사/기말고사자료
판매자 표지는 다운로드시 포함되지 않습니다.

"TD 알고리즘" 검색결과 21-40 / 146건

  • [방송통신대 컴퓨터과학과] 컴퓨터그래픽스 출석수업대체과제물
    알고리즘 소스 코드.#include?#include?#include?#include?using ?namespace ?std;void ?fnBresenhamLine(int ?xl ... ● 과 제 명 :· 기울기가 1보다 큰 선분을 그릴 수 있도록 교재 82쪽(멀티미디어 강의자료 3강 26번 슬라이드)의 알고리즘을 수정하고, 선분 (2, 1) - (5, 8)을 그리 ... . Bresenham 선분 알고리즘2Ⅱ. 다각형 내/외부 판별4Ⅲ. 참고문헌5제목2020학년도 1학기 출석수업대체시험 실습과제작성일2020-07-19페이지1/1Ⅰ. Bresenham 선분
    Non-Ai HUMAN
    | 방송통신대 | 5페이지 | 3,000원 | 등록일 2020.07.17 | 수정일 2020.07.21
  • 14장 예외처리와 템플릿
    namespace std; 03 void main() 04 { 05 int a, b, c, d; 06 07 cout 나눗셈을 하기 위해서 데이터를 입력하시오 . endl ; 08 ... _02.cpp) 01 #include iostream 02 using namespace std; 03 void main() 04 { 05 int a, b, c, d; 06 07 c ... _05.cpp) 01 #include iostream 02 using namespace std; 03 04 void divide( int a, int b) 05 { 06 int c
    Non-Ai HUMAN
    | 시험자료 | 30페이지 | 4,000원 | 등록일 2019.10.02
  • 5장 포인터와 함수 매개변수 전달
    02 using namespace std; 03 void main() 04 { 05 int a=10; 06 cout 변수 a 에 저장된 값 = a ₩ n; 07 cout 변수 a ... 를 메모리에 할당한 구조 (05_02.cpp) 01 #include iostream 02 using namespace std; 03 void main() 04 { 05 int a=10 ... #include iostream 02 using namespace std; 03 void main() 04 { 05 int a=10, b=20; 06 int *p= a; 07 cout a
    Non-Ai HUMAN
    | 시험자료 | 23페이지 | 4,000원 | 등록일 2019.10.02
  • 인하대학교 전자공학과 c++ 과제 3단원
    ,malloc 등의 메소드가 포함된 라이브러리#include //시간과 관련된 메소드, 상수가 포함된 라이브러리using namespace std;/*rand()함수만 사용하면 무 ... )) + a)/2)*2; //a이상 b미만 랜덤 정수를 뽑는 알고리즘에 짝수 sifting 알고리즘을 더한다.}};int main(void) {EvenRandom r
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,000원 | 등록일 2017.11.25 | 수정일 2017.11.27
  • 컴퓨터프로그래밍: 객체지향기반 물품관리 프로그램
    . 메뉴 기능을 제공하여 원하는 기능을 선택하여 수행할 수 있도록 한다 . 제출기한 :6 월 11 일 ( 토 ) 제출 내용 : 프로그램 소스 코드 및 실행 파일 , 보고서 ( 알고리즘 ... _num 0 itemNum = item_num ; itemList = new Item[ item_num ]; } #include Item.h using namespace std
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2019.11.09
  • 20071026155017 2007년자료구조기말고사
    Queue를 구현하였을 때 각 Method의 수행속도를 Big-Oh 방식으로 표현하라. (10점)5, 아래의 Key값을 가지는 Object가 순서대로 Heap에 Up-Heap 알고리즘 ... 을 실행하면 250이 출력된다. 2번에 해당하는 구문을 실행하면 어떤 값이 출력되는지 적어라. (10점)#include #include using namespace std;int
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2017.12.12
  • VHDL이란?
    declaration을 해줘야 한다. 위에서 선언한 Library는 ieee로 설계자는 ieee 라이브러리의 std_logic_1164란 package를 사용하려고 하는 것이다. std ... _logic_1164 package에는 하드웨어 모델링에 가장 많이 사용되는 std_logic형과 std_logic_vector가 정의 되어있다.-Object-object란 주어진 ... 적으로 어떠한 하드웨어 구조를 가지는지에 대해서는 상관없으며 진리표등으로 표현된 것을 수학적인 알고리즘을 통해 시스템의 동작을 표현한다. VHDL의 동작적 표현을 위한 가장 일반
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 1,000원 | 등록일 2017.12.01
  • [대충] 예비 VHDL 설명 및 문법
    는지에 상관없이 설계자가 원하는 것을 기 능적 또는 수학적인 알고리즘을 사용하여 시스템의 기능을 기술한 것을 말한다.②자료 흐름적 모델링 기법-자료의 흐름 즉 신호 및 제어의 흐름 ... .std_logic_1164.all;entity inv isport( A : in std_logic;Z : out std_logic);end inv;architecture action of inv isbeginZ
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • C++ term project (학생기록부 제작 코드 보고서)
    를 출력할 수 있습니다.3) 학생번호를 이용하여 입력한 정보를 검색할 수 있습니다.4) 학생번호를 이용하여 입력한 정보를 삭제할 수 있습니다.설계알고리즘class ... #include #include "StudentManager.h"using namespace std;int main(){int select;StudentManager student
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 3,000원 | 등록일 2016.11.02
  • 가상현실 기술의 개념과 활용사례
    율을 최소화하기 위해 사용자의 고개 움직임을 예측하는 알고리즘을 개발한다거나, 콘텐츠 전송 시간 자체를 단축하기 위한 연구들이 활발히 이루어지고 있다. 단기간에 이 모든 문제 ... 는 VR Banking App 출시하였다. 호주의 Westpac 은행은 신용/직불 카드를 비추면 결제 금액 및 거래 내역을 제시해 주는 AR 서비스 제공하고 있으며 캐나다 TD
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,500원 | 등록일 2019.12.22
  • 논리회로실험 설계 보고서
    프를 통해 여러 가지 곱셈 알고리즘을 이해하고 multiplier 구현을 위한 기법들을 익히며 설계 흐름을 숙지한다. 동작 확인 과정을 통해 시뮬레이션 툴의 사용법을 익힌다 ... 는 계층적으로 분해될 수 있다.2. 각 설계 요소는 잘 정의된 인터페이스와 정확한 기능적 명세를 가진다.3. 기능적 명세는 요소의 동작을 정의하기 위해 알고리즘이나 실제의 하드웨어 ... 하다고 판단된다.사용자정의 형전형적인 VHDL 프로그램에서 가장 일반적으로 사용되는 형열거형값들을 나열함으로써 정의되는 형std_logic가장 중요하고 표준적이고 사용자 정의
    Non-Ai HUMAN
    | 리포트 | 24페이지 | 4,000원 | 등록일 2013.11.25 | 수정일 2013.11.28
  • 논리회로실험 - 제 4장 12가지의 연산을 수행하는 ALU를 설계 결과보고서
    하는데 어떠한 쉬프트를 수행할 것인지는 S4~S3값에 따라 결정된다.-ALU의 구조2)booth 곱셈기-부스 알고리즘 동작 테이블부스 알고리즘은 Y0와 Y-1의 값을 이용하여 연산 ... 하는 연산을 실행한다.)-부스 알고리즘의 예-상태도와 블록 다이어그램S1 : 승수, 피승수 값을 입력받고 load값을 검사‘0’이면 대기한다.‘1’이면 S2 상태로 간다S2 ... --시프트연산case s(4 downto 3) iswhen "00" => o o o o null;end case;-입력과 출력a : in std_logic_vector(7
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 1,000원 | 등록일 2014.08.15
  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    는 Behavioral 표현 방식과 Data Flow 표현 방식으로 설계 한다.2. 예비 이론(1) 디코더복호기라고도 한다. 디코딩을 수행하는 회로, 장치, 소프트웨어, 알고리즘을 말 ... 적 모델링자료 흐름 모델링library IEEE;use IEEE.STD_LOGIC_1164.ALL;entity B1_PTJ_JSH_1 isport (X : in std_logic ... _vector(2 downto 0);D : out std_logic_vector(7 downto 0));end B1_PTJ_JSH_1 ;architecture Behavioral of
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 판매자 표지 자료 표지
    5장 연습문제 알고리즘 (FOUNDATION OF ALGORITHMS USING C++ PSEUDOCODE) 도경구
    1. n-여왕말 문제를 푸는 되추적 알고리즘(알고리즘 5.1)을 문제 사례가 n=8인 경우 적용시켜 보고, 그 실행과정을 단계별로 보여라. 첫 번째 해답을 찾을 때까지 이 ... 알고리즘이 만드는 가지친 상태공간 트리를 그려라.(1) 은 유망하다.(2) ,은 유망 하지 않다.은 유망하다(3) ,,,은 유망 하지않다. 은 유망하다(4) 은 유망하지 않다. 은 유망 ... 다.가지친 상태 공간 트리4. n-여왕말 문제(알고리즘 5.1)을 푸는 되추적 알고리즘을 구현하는 프로그램을 작성하고, n=4,8,10,12인 문제사례를 가지고 실행시켜 보라(소스)
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 5,000원 | 등록일 2013.05.01 | 수정일 2019.12.18
  • 통계적시뮬레이션(Simulation) - 기말고사 필기 정리본
    Single Server Queueing System- 손님의 도착 시간은 NPP(lambda (t))를 따른다 → 미리 알고리즘 만들어 놓을 것- our ... (tA,tD) 0){if (tA 0) tD = t + rexp(1,lambdaD)D = c(D,t)}}# case 4:Tp = max(t-T,0)output = list(A
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 2,000원 | 등록일 2015.08.13
  • [자료구조] 힙 정렬( Heap Sort )
    Data StructureHeap Sort -00대학교 / 컴퓨터 공학부이름 : 000학번 : 00000000개요자료구조 중 하나인 Heap을 이용한 Sorting알고리즘을 구현 ... 하여라.소스#include using namespace std;void adjust(int *list, int root, int n);void swap(int *a, int *b
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2013.12.10
  • 결과보고서 #5
    . 고찰(1) A4_김영관 : Misson 1에서 우리가 구현해야하는 ALU는 기능선택 선으로 들어온 신호를 판단해 미리 입력된 알고리즘으로 두 개 bus의 데이터 입력을 연산 ... 한 mission이였다. 다만 코드를 짤 때 알 수 없는 에러가 자꾸 나와서 당황하였는데 그것은 라이브러리에서 std_logic_signed를 선언해주지 않았었기 때문이었다. 그 다음
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 2,000원 | 등록일 2016.05.13 | 수정일 2021.07.28
  • 판매자 표지 자료 표지
    VHDL을 이용한 설계-7 segment
    에 따라서 segment의 출력이 결정되기 때문에 클럭이 입력, segment가 출력이 된다. 클럭입력은 ‘0’과 ‘1’을 가지는 std_logic으로 정의되고, segment는 7개 ... 의 신호로 결정되기 때문에 6bit를 가지는 std_logic_vector로 정의된다. segment vector의 MSB부터 차례로 a, b, c, d, e, f, g성분이 된다 ... .③ Architecture - 실제 동작 알고리즘을 표현하며 입력 클럭에 의해 수행되는 process로 구성하였다.④ Process - Architecture 내부에서 정의되어 신호
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2012.07.12
  • 성균관대 C프로그래밍-동적메모리할당
    , Heap)을 이해한다.Pointer의 역할을 이해한다.Dynamic memory allocation을 통한 memory할당에 대하여 이해한다.3. 과제 해결방안1) 알고리즘2) 해결 ... 을 종료한다.num_in_range = get_in_range(names, grades, num_persons, average, std_dev, names_in_range ... 어야 한다. FILE *fp는 fp라는 이름을 가진 FILE형 포인터를 선언해 주는 의미이다.double average, std_dev;평균값과 표준편차값은 소수점까지 나타내어야 하기
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 1,500원 | 등록일 2014.04.23
  • 크루스칼
    ▣ 문제개요 최소비용 신장트리를 구하는 Kruskal 알고리즘과 Prim 알고리즘을 구현하라.▣ 문제분석 및 해결방법 크루스칼 알고리즘은 한번에 하나씩 T에 간선을 추가해 가면 ... 서 최소비용 신장트리 T를 구축한다. 이 알고리즘은 T에 포함될 간선을 비용의 크기 순으로 선택해 간다. 이미 T에 포함된 간선들과 사이클을 형성하지 않는 간선만을 T에 추가 ... 한다. G는 연결되어 있고 0보다 큰 개수의 정점을 가지므로 정확하게 n-1개의 간선이 T에 포함된다. 프림알고리즘은 크루스칼의 졍우처럼 한번에 하나의 간선으로 최소비용 신장트리를 구축
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,000원 | 등록일 2011.12.18
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 25일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:09 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감