• AI글쓰기 2.1 업데이트
  • 통합검색(404)
  • 리포트(395)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"7-segment / Decoder" 검색결과 361-380 / 404건

  • 디지털전자실험 - PLD프로그래밍
    디코더 회로BCD-to-7 segment decoder IC 인 7447을 PLD로 대체할 수 있도록 PLD 프로그램을 작성, 컴파일하여 PLD에 프로그래밍하고 회로를 연결하여 그 ... = !A;NOT_B= !B;A_AND_B= A&B;A_OR_B= A#B;X= (!A&B)#(A&!B);END Test실험2 - PLD를 이용한 BCD - to - 7 segment ... #(!D3&D2&D1&D0); "0,1,7"END Test결과분석Comon-Anode 타입으로 com 단자에 Vcc 를 연결한다.(따라서 0 이 들어와야 해당 segment의 LED
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,500원 | 등록일 2009.01.30
  • 디지털공학실험 3장 수체계(예비)
    에서 15까지의 수에 대한 2진수 표현은 BCD에서는 사용하지 않는다.그림 3-1은 알약 계수 제어 시스템을 보여주고 있다. 이번 실험을 위한 회로는 BCD 디코더(decoder)와 7 ... 3수 체계■ 실험 목표이 실험에서는 다음 사항들에 대한 능력을 습득한다.● 2진수 또는 2진화 10진(BCD) 수의 10진수로의 변환● BCD 숫자를 디코드 하여 7-세그먼트 ... 디스플레이 상에 표시하는 시스템 구성● 가상적인 결함에 대한 회로의 고장진단■ 사용 부품LED 4개7447A BCD/10진 디코더MAN72 7-세그먼트 디스플레이4비트 DIP 스위치
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 2,500원 | 등록일 2010.04.06
  • 7segment
    에서 발견할 수 있다. BCD-7세 그먼트 디코더(BCD to 7segment decoder)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성 ... Digital Logic디지털 논리-7 segment -과목명 : 디지털논리교수님 : 김명규 교수님학 과 : 컴퓨터정보공학과이 름 : 2006122148 송정호제출일 : 2008 ... 입력 후 2번 핀에 "0"이 들어오면 7Segment의 a에 해당하는 segment 에 불이 들어온다.ㆍCathod 형전원 핀(1번)에 GND를 연결하고 입력으로 논리적인 "1
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 1,500원 | 등록일 2008.05.21
  • 자판기(vending machine) VHDL
    ;--주스count_dec : out std_logic_vector(6 downto 0);sel_decode: out std_logic_vector(5 downto 0));end ... vendingmachine;architecture rtl of vendingmachine is--segment display functionfunction dis_seg(cnt ... _decode := "1101101";when 6 => seg_decode := "1111101";when 7 => seg_decode := "0100111";when 8 => s
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 2,000원 | 등록일 2007.04.12
  • Lab(6) 카운터, 비교기, 포토 인터럽터 회로
    정형화된 펄스를 디지털신호로 해석하여 (Decode) 7-segment에 출력하였다. 입력신호가 Analog여서 인지 카운트가 진행되다가 Reset될 때도 있었다. 환경적 요인 ... . 6.8(월)[Lab.#6]Ripple Counter, Up/Down Counter, 4bit 크기 비교기, photo-interrupter 회로 실습[1]학습목표a)7476 J ... 에 먼저 +전원, GND, 그리고 각 핀의 번호를 찾아 기입하고 확인하면서 브레드보드에 회로를 구성한다.[실습#1]74LS76 JK-FF과 74LS00 NAND gate를 이용한 10진
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 1,500원 | 등록일 2010.06.23
  • 마이크로 응용설계 사례조사
    . For the display I (wanted) to use 7-segment LED's rather than a LCD. As display driver I used the ... transferred into a line of 4 74HC165 8-bit parallel to serial shift registers. The register contents are s ... Intersil ICM7228 8-digit, microprocessor compatible LED display decoder driver. The prescaling
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 1,000원 | 등록일 2008.12.10
  • 쿼터스 VHDL을 이용한 디지털 시계 설계 결과
    시계의 기능 / 작동원리 각 부에서 나온 신호를 7-segment로 표시.LED 부분 Alarm 은 시간과 분으로 설정  Stop Watch 의 시작,정지의 두 가지 상태 ... ; end sample; 알람 ON/OFF LED알람 설정부분library ieee; --분리한 각 숫자를 7-segment 배열에 표시하는데 사용한다. use ieee.std ... logic시계 모드Mux7 seg ment스탑 워치 모드sw1 Sw2 sw3 clk내부구조설계 및 구현방법알람 모드알람 설정sw0시간 조절 버튼sw2sw1sw1sw0sw3sw1sw0알람
    Non-Ai HUMAN
    | 리포트 | 37페이지 | 2,000원 | 등록일 2009.05.22
  • [디지털공학][전자공학]디지털실험공학 - 9.Decoder와 Encoder
    9. Decoder와 Encoder결과보고서제출일자조성 명1. 개 요? Encoder 와 Decoder 의 원리 및 구성 방법을 익힌다.? 7-segment 숫자표시기의 사용방법 ... 토 사 항1. BCD to 7 segment code vonverter를 Karnaugh Map 을 이용하여논리식을 구하라.# 첨 부2. 전가산기 회로를 decoder 와 OR gate 를 사용하여 구성하라.# 첨 부# 첨 부 ... *************00010001000000110001000010000001000101000001001100000001011100000001표 9-2DCBA-0-1-2-3-4-5-6-7-8
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2005.12.20
  • 7-segment
    4511를 주로 이용한다. 아래는 4511(BCD t0 7 segment decoder)의 핀 배치도와 기능표이다.부가적으로 설명을 하자면 A,B,C,D에 이진수를 입력하면 십진수로 ... 1.실험제목 : 7-세그먼트2.관련이론1) 7-세그먼트 (Seven-Segment)7-세그먼트는 8개의 독립된 LED들로 구성된다.(7개의 세그먼트와 하나의 소숫점)7-세그먼트 ... 는 발광 다이오드를 이용한 부품으로 0부터 9까지 임의의 수와 영문자를 표시하도록 만든 것으로서, 계수기나 디지털 시계등에 많이 이용되고 있다.7-세그먼트는 아래 그림과 같이 양극
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2008.09.23
  • 디코더와 인코더
    와 인코더의 특성을 확인하고 부호 변화기의 동작을 살펴본다.- 디코더와 인코더의 구성방법을 익혀 각종 코드를 만들 수 있는 능력을 키운다.- 7-segment의 원리와 숫자 표시기 ... 사전보고서제출일학과전자공학과조학번조원이름성명Ch. 7 디코더와 인코더(Decoder and Encoder)- -1. 실험목적- 디코더와 인코더의 동작 원리를 이해한다.- 디코더 ... 7425(4-input NOR) - TTL 7432(quad 2-input OR Gate)- TTL 7447(BCD to 7-Segment decoder) - TTL 7490
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2007.01.11
  • TTL 디지털시계 제작
    /12 카운터 형성.:각 부분에서 형성된 카운터를 7-segment로 연결하여 디스플레이함.3. 주요부품.1. TTL IC.(DATA SHEETS)a. 74LS390:분주:10 ... 의 칩에서 10진의 카운터를 형성하여 초당 1번 1~10까지 카운팅되는 시스템을 만든다.- 각 74LS47 IC는 10진과 6진의 출력을 7-segment로 전달한다.: 74LS90 ... LS47 IC는 10진과 6진의 출력을 7-segment로 전달한다.: 앞에서의 초의 출력을 받아서 counting 됨: 10진, 6진 두 개를 합하여 60진 카운트를 형성
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 2,500원 | 등록일 2009.02.05
  • 7세그먼트 표시기 및 디코더
    Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 되어 있다. 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment ... -Segment Decoder7447BCD-to-7-Segment Decoder7448BCD-to-7-Segment Decoder7449BCD-to-7-Segment Decoder ... 74246BCD-to-7-Segment Decoder74247BCD-to-7-Segment Decoder74248BCD-to-7-Segment Decoder그림 5-6. BCD-to-7
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,500원 | 등록일 2007.09.03
  • 논리회로 실험 - 디코더, 인코더 결과보고서
    게 이루어졌다.5번 실험. 7 segment 표시기를 갖는 BCD 카운터7-segment 표시를 하기 위해서는 우선 NBCD 입력을 10진수로 고치고 그것을 7-segment 코드 ... 로 변환하여야 한다. 이러한 기능을 7447이 할 수 있다. 7447은 애노우드 접지형 7 segment LED를 드라이브할 수 있게 active-low open-collector 출력 ... 의생기를 1Hz 정도로 하고 표시기를 관찰하라.-실험에 대한 고찰7 segment 라 함은 FND의 LED가 켜지는 구간이 7개 이므로 붙여진 이름이다. 이를 가지고 0~9
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 1,000원 | 등록일 2008.02.25
  • 시계설계
    ~10까지 카운팅 되는 시스템을 만든다.- 각 74LS47 IC는 10진과 6진의 출력을 7-segment로 전달한다.: 74LS90의 출력을 받아 Counting.: 6진 카운트 ... 을 7-segment로 전달한다.: 앞에서의 초의 출력을 받아서 counting 됨: 10진, 6진 두 개를 합하여 60진 카운트를 형성하고 시간을 설정하는 루틴은 초와는 달리 지속 ... -s저 7-SEGMENT, 소캣, OCSILLATOR등의 위치를 정하고 Vcc와 GND 성의 위치를 확보해야 원활한 제작이 가능하다. 자리를 잡지 않을 경우 전선의 위치가 복잡해져서
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 2,000원 | 등록일 2009.06.24
  • 카운터설계
    다.Common?Anode 타입에서는?여기 적힌 포트에 GND를 주면 된다.?? 진리표 7-segment 회로도아래처럼 해서 7-Segment 알파벳과 숫자를 모두 표현 하는 것이 ... % 주흰검갈갈?: 390×101옴 ±1%주주갈금?: 33×101옴 ±5%5) 7-segmentLED도 일종의 디스플레이 장치 중 하나 이지만2진 데이터를 출력하기 때문에 사람 눈 ... 에는 익숙하지 못하다.좀 더 직관적인 디스플레이 장치로 많이 사용되는 것이 7-Segment이다.위 그림과 같이 생겼고 10개의 핀이 있는데 각각의 기능은 좌측과 같다.여기서 3
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 3,000원 | 등록일 2010.11.23
  • [전자기학]LIGHT-EMITTING DIODES(예비보고서)
    EXPERIMENT 1. LIGHT-EMITTING DIODES--1. 실험목적실생활에서 많이 사용하고 있는 LED와 Seven-segment display의 원리를 이해하고 이 ... arraySeven segment display LED2N5810 NPN transistor1 N914 silicon diodesMV5054-1 Light-emitting diode1 K ... 은 플라스틱 케이스 내의 깃발 모양(Flat lead)을 가진 단자이다.그림-2 LED 패키지(2) Seven-segment display위의 그림-2 에서와 같이 Seven-s
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2006.06.23
  • [디지털공학]디지털논리회로
    논리회로설계 Design Project #2BCD-to-7 segment decoder위 그림에서 보는바와 같이 7-Segment Decdoer는 2진 Code의 수를 입력 ... 으로 받아들여 0부터 9까지의 수를 표현한다. 7-segment Display는 그림과 같이 7개의 LED 소자를 적절히 구성하여 각각의 단자에 높은 전압(Logic '1')을 가해 글 ... logic diagram by Max+pus-Ⅱ으로써, 4입력 9출력 디코더로 구현할 수 있다.이 논리게이트로 시물레이션 파형을 구해보면,위 그림과 같이 나타남을 볼 수 있다.
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2006.01.16
  • [논리회로]자판기 구현
    개수를 7 segment LED에 필요한 적절한 code로 바꿔주는 4 to 8 DECORDER e.g. 400 원 = 100won 4개 - 0100 := [decoder ... 100 swMaterial sw7-segment LEDCREDITCHANGEMaterialSUGARCOFFEEMILK6-segment Bar-LEDCOFFEECoin 500 sw ... td_logic_vector (3 downto 0); D : out std_logic_vector (7 downto 0)); end DECODER_4*8; architecture
    Non-Ai HUMAN
    | 리포트 | 19페이지 | 3,500원 | 등록일 2005.04.18 | 수정일 2017.10.24
  • [공학]JPEG 개요
    .6-1.0-12.1-5.22.1-1.7-2.71.3-22.6-17.5-6.2-3.2-2.9-0.10.4-1.2-10.9-9.3-1.61.50.2-0.9-0.6-0.1-7.1-1 ... Information(1) Marker 구조MarkerMarker segment lengthMarker segment dataMarker2bytes항상 0xFF로 시작두번째 byte는 1 ... byte의 code( 0x00과 0xFF는 사용하지 않음) 삽입.Length2bytes자신을 포함한 Marker segment data 의 길이Marker segment data최대
    Non-Ai HUMAN
    | 리포트 | 15페이지 | 1,500원 | 등록일 2006.06.05
  • HDL 프로젝트(사칙연산)
    되어 7_segment_decode가 넘겨받아 각자 자리수로서의 활동을 하게 되는 것이다.(8) 7 - Segment decode1) 입출력 변수 및 module 이름 ... 하는 것이다. 일단 위의 연산 결과값을 레지스터로 담는다. 그리고 나서 이 레지스터의 자리마다 어떤 숫자가 있는지를 판별하여 7_segment_decode로 넘겨 주는 것이다. 먼저 ... 하는 것이다.이렇게 모든 자리수의 확정이 있고 난 후에는 그 값을 각 자리 수를 대표하는 7_segment_decode로 넘겨주게 된다. 즉 이렇게 자리수가 결정된 숫자는 바로 연결
    Non-Ai HUMAN
    | 리포트 | 44페이지 | 3,000원 | 등록일 2004.10.26
  • 전문가요청 배너
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 28일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:14 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감