• AI글쓰기 2.1 업데이트
  • 통합검색(404)
  • 리포트(395)
  • 시험자료(5)
  • 논문(3)
  • 자기소개서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"7-segment / Decoder" 검색결과 321-340 / 404건

  • decoder를 이용한 각종 시스템 구현
    onverter2.BCD to 7-segment decoder3.Traffic light controller실험결과□ Minterm1. Verilog codemodule Minterm(X,M ... decoder- BCD to 7-segment decoder는 BCD에서 10진수를 받아들이고 그 숫자를 나타내는 segment 를 선택하여 적당한 출력을 발생하는 조합회로이다. ... [8],M[9]);or C7(Y[6],M[0],M[2],M[3],M[5],M[6],M[7],M[8],M[9]);endmodule- BCD to 7-segment decoder 역시
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2009.05.07
  • 실험(1) 디코더 결과보고서
    ⑷ 그림 5와 6을 참조하여 십진수 구성요소 b~g편에 대한 논리식을 구하고, 이를 이용하여 BCD-to-7 segment 복호기의 논리회로를 구성하라.그림 BCD-to-7 s ... Prentice Hall, 2006, pp470-499.7490 십진카운터, www.alldatasheet.com74LS47 BCD-to-7 segment, www.alldatasheet.com ... egment 논리회로⑸ 7490 십진 카운터에 대하여 조사하라.아래 그림은 7490 십진 카운터의 Logic symbol이다.그림 7490 logic symbol그림 진리표7490 십진
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2009.06.21
  • 디지털시스템(TTL CLOCK)
    은 디지털시계를 설계하기 위해서는 시간 표시를 위한 7-segment LED를 비롯하여BCD-to-7, 7-segment LED. 12시간 표시기 디코더, Divide-by-N c ... ounter를 이용해서 초와 분을 나타내고 12카운터로 시를 표현한다. 시간을 신호로 나타낸 다음 이 신호를 사람을 볼 수 있게 FND를 이용하게 된다. 7-segment LED 에 시간 ... 을 나타내는 신호를 보내서 10진수를 표현한다. 카운터에서 나온 신호를 BCD to 7- segment LED(7447)을 이용하여 표현 할 수 있다.3. TTL Clock에 사용
    Non-Ai HUMAN
    | 리포트 | 51페이지 | 2,000원 | 등록일 2010.07.02
  • vhdl를 이용한 BCD-TO-EXCESS3 코드변환기(조합회로)
    로 표시한다.7-segment decoder를 통하여 7-segment LED에 표시하기 위하여 7-segment를 벡터로 나타내어 최상위 bit를 s[6]로하고 가장 최하위 bit ... 를 s[0]까지 s[6], s[5], s[4], s[3], s[2], s[1], s[0]으로 표시한다.ABCDEX-3to7-segmentdecoderS[6]S[5]S[4]S[3]S ... 1. specificationBCD-TO-EXCESS3 코드변환기는 2진수로 표현된 10진수에 3을 더하는 것이다. 예를 들면 10진수 0 즉 BCD 0000에 대한 EXCESS
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 2,000원 | 등록일 2010.06.11
  • 디지털전자실험 - 디코더,인코더 특성
    : 7404(NOT), 7408(AND), 7432(OR), 74139(2-to-4 decoder),7447(BCD-to-7 segment decoder)LED(Light ... .(위의 LED에는 불이 켜지지 않는다.)실험 10-5 BCD-to-7 segment decoder를 이용한 FND 구동회로BCD-to-7 segment decoder를 이용 ... Emitting Diode) 2개, 저항 100(Ohm) 2개, 저항 330(Ohm) 8개, Common Anode 형 7 - segment(FND : Flexible Numeric
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 2,000원 | 등록일 2009.01.30
  • [디지털 결과]디코더 와 인코더
    와 인코더의 구성방법을 익혀 코드를 만들 수 있는 능력을 키우고 7-segment의원리와 숫자표시기의 사용방법을 익힌다.4. 모의 실험 및 실험 결과(1) 2×4 디코더 ... .1610.1560.1674.5584.5534.520(4) 7-Segmentclock pluse(13)a(12)b(11)c(10)d(9)e(8)f(14)g ... 었고 인코더 실험을 통해서는 4개의 입력 값이 BCD 코드로 어떠한 값이 나오는 지를 알 수가 있었다. 이번 실험에 있어서는 마지막 7-Segment의 실험을 수행하는데 있어 클럭
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 2,000원 | 등록일 2010.11.12
  • 은행창구회로도
    사용부품저항 [4.7K,10M Ω], 스위치 7-segment [anode형] 7-segment decoder [7447] 2-input NOT [7404] 2-input XOR
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 10,000원 | 등록일 2009.10.08 | 수정일 2023.10.12
  • Encoder와 Decoder 결과보고서
    목적- Encoder와 Decoder의 기능을 익히고 부호변환 회로의 설계방법과 Seven-segment(숫자표시기)의 사용방법을 익힌다.1. 디코더(Decoder)디코더 ... 를 표시 할 수 있다. BCD -7 세그먼트 디코더(BCD to 7-segment diode)는 BCD에서 십진 숫자를 받아들이고 십진 숫자를 나타내는 선분을 선택하는 출력을 생성 ... 0.144V100.143V0.143V4.521V0.144V110.142V0.144V0.144V4.523V(2) 7-segment의 진리표 작성 및 논리식 표현하기입력 (BCD)출력
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 3,000원 | 등록일 2009.03.11 | 수정일 2018.07.08
  • 실험(1) 디코더 예비보고서
    .3 십진 수치의 표시십진 수치의 표시를 위한 대표적인 것으로 BCD-to-7 segment 복호기와 수치 표시기를 들 수 있다. 이것은 BCD로 나타내는 숫자 신호를 7편(s ... BCD to Decimal decoder그림 BCD to Decimal decoder timinggram위의 그림은 BCD to Decimal decoder의 logic s ... 예비보고서제목 : 부호기(Decoder)1. 목적조합논리회로의 기본적인 예가 되는 복호기와 부호기의 동작 원리 및 특성을 확인하고 부호 변환기의 동작을 살펴본다.2. 관련이론논리
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2009.06.21
  • VHDL기초강의
    _decode := “0111111”; when “0001”= seg_decode := “0000110”;7-segment decoder VHDL code – function 사용 ... ombinational circuit) 설계 및 실습 Adder Multiplexer Decoder 순차 회로(sequential circuit) 설계 및 실습 F/F, Latch Shift ... editor)나 스키메틱 편집기(schematic editor)를 이용해 작은 블록을 설계하고 이것을 이용해 큰 블록을 설계하는 상향식 설계(bottom-up) 설계해야 할 회로
    Non-Ai HUMAN
    | 리포트 | 106페이지 | 1,000원 | 등록일 2010.05.11
  • 디지털시계 발표자료
    분주 × 3Decade counter ( 1/10 분주 )Decade counter (1 sec )7-segment decoder1 sec 7-segment7-segment ... part )1 HzBlock diagram( Min part )7-segment decoder1 min 7-segmentMin adjustment button ( Input = 1 ... /10 Clock )7-segment decoder6 num counter ( 10 sec )10 Sec 7-segmentDecade counter ( min )7-segment
    Non-Ai HUMAN
    | 리포트 | 31페이지 | 1,000원 | 등록일 2007.12.10
  • 충북대 전기전자공학 디지털실험 19장 예비보고서
    ones), 10초(sec tens), 1분 (min ones)를 각각 표시해 준다. 이때 각각의 4bit data들은 7segment decoder를 통해 display부 ... 로 출력되는데 이 decoder를 공통으로 이용하기 위해 4x7 segment controller부를 이용하게 된다.4x7 segment controller 부를 이용하게 된다.4x7s ... . counter부로부터 받아들인 입력은 7segment decoder를 통해 해당하는 숫자를 표시하게 된다. counter block설계에 필요한 카운터블록 이름출력 이름구성
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2008.02.18
  • [논리회로실험]실험7결과보고서 복호기와 부호기
    시뮬레이션 >7 segment 표시기를 갖는 BCD 카운터7-segment 표시를 하기 위해서는 우선 NBCD 입력을 10진수로 고치고 그것을 7-segment 코드로 변환하여야 한다 ... . 이러한 기능을 7447이 할 수 있다. 7447은 애노우드 접지형 7 segment LED를 드라이브할 수 있게 active-low open-collector 출력을 갖 ... 를 접지하여 segment 표시를 점검한다. 이때 7-segment 모두가 발광해야 한다. 다시 LT를 +5V에 연결한다. 주파수 발생기를 1Hz 정도로 하고 표시기를 관찰하라.
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,000원 | 등록일 2010.04.12
  • [논리회로실험] decoder와 encoder (예비)
    012345표 3. Excess-3 Code5) 7 segment 표시기를 갖는 BCD 카운터그림 8. 7-segment 표시기를 갖는 BCD 카운터7-segment 표시를 하기 위해서 ... 는 우선 NBCD 입력을 10진수로 고치고 그것을 7-segment 코드로 변환하여야 한다. 이러한 기능을 7447이 할 수 있다. 7447은 애노우드 접지형 7 segment LED ... 로 한다. 7490의 R0 control로 카운터를 reset시킨다. 7447의 LT를 접지하여 segment 표시를 점검한다. 이때 7-segment 모두가 발광해야 한다. 다시
    Non-Ai HUMAN
    | 리포트 | 13페이지 | 1,500원 | 등록일 2009.03.20
  • 카운터 설계( 결과보고서), 36진 카운터
    ,53.2. 74LS47 (7-segmentDecoder IC)....................6,73.3. 74LS08 ... 를 이펄스를 주어 디코더를 거쳐 7-segment에 신호가 들어가 원하는 숫자가 카운터 되는 것을 확인한다.Ⅱ. 설계하려는 카운터 진수와 동작원리우리가 설계하려는 카운터는 36진 ... 카운터이다. 이 36진 카운터는 0~35까지 7- segment에 출력된다. 이때 사용하는 십의자리와 일의자리 7490은 12번핀과 1번핀을 연결해 주는데, 7490은 2진 카운터 1
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 2,500원 | 등록일 2010.07.12
  • 전기전자기초실험 Combination Logic Circuit Design 결과보고서
    , understand the mechanism of 7-segment controller used to display number in the digital circuit, c ... one which is taken 16.0ns.2. 1*4 DEMUX- The Codemodule 7_seg (in,seg)input [3:0] in;output [6:0] s ... - Objective : Understand the mechanism of typical combination circuits such as multiplexer
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,000원 | 등록일 2009.09.08
  • 7세그먼트에 관한 보고서
    7-segment1. 7세그먼트 LED의 구조 및 종류7개의 LED 세그먼트로 구성되며, 소수점을 표현하는 dp.(dot point)를 포함할 경우 8개의 LED 세그먼트로 구성 ... (segment)라고 하며, LED가 7개 사용 됨으로 7세그먼트 표시기라고 이름이 붙여졌 다. 원래 7-세그먼트는 숫자와 A-F까지의 알파벳까지 표현할 수 있고, 따라서 16진수 ... 된다. 7개의 LED세그먼트는 a,b,c,d,e,f,g로 표현되며, 이는 숫자 0-9와 A-F 및 기타 문자 표현이 가능하다. OK-128 kit에서는 4자리 문자까지 표시 가능
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2011.06.24
  • [논리회로실험] decoder와 encoder (결과)
    회로를 정정하려면 A의 Nand 게이트와 연결된 3번 스위치를 2번스위치와 연결해주면 된다.5) 7 segment 표시기를 갖는 BCD 카운터그림 8. 7-segment 표시기를 갖 ... 는 BCD 카운터7-segment 표시를 하기 위해서는 우선 NBCD 입력을 10진수로 고치고 그것을 7-segment 코드로 변환하여야 한다. 이러한 기능을 7447이 할 수 있 ... 다. 7447은 애노우드 접지형 7 segment LED를 드라이브할 수 있게 active-low open-collector 출력을 갖는다.그림 8의 회로를 구성한다. 7447
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,500원 | 등록일 2009.03.20
  • 논리소자를이용한 전자회로실습 텀프로젝트
    트랜지스터 C3198 2N2222A 7segment FND507 저항 470Ω, 1k ㏀ 4.7 ㏀, 10 ㏀ 커패시터 100㎌, 104 LED, BuzzerP-spice기존회로 ... 레이저건을 이용한 사격게임레이저건을 이용한 사격게임목차설계목적사용된 소자타겟 회로 / 레이저건 회로회로구동원리 / 소자설명P-spice 시뮬레이션Term project 진행과정 ... 로 연결하는 14번핀이 2진 카운터의 클럭펄스를 입력받기 위하여 마련되있다.회로구동원리 / 소자설명사용된 소자74LS42 (BCD-to decimal Decoder)2진수를 10진수
    Non-Ai HUMAN
    | 리포트 | 24페이지 | 1,000원 | 등록일 2010.06.18
  • 자판기
    ; architecture rtl of vendingmachine is --segment display function function dis_seg ( cnt : integer range 0 to ... = seg_decode := 1111101; when 7 = seg_decode := 0100111; when 8 = seg_decode := 1111111; when 9 = seg ... _vector (6 downto 0); sel_decode : out std_logic_vector (5 downto 0)); end vendingmachine
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,500원 | 등록일 2009.10.10
  • 전문가요청 배너
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 28일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:38 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감