• AI글쓰기 2.1 업데이트
  • 통합검색(2,058)
  • 리포트(1,896)
  • 시험자료(106)
  • 방송통신대(23)
  • 자기소개서(22)
  • 논문(7)
  • 서식(3)
  • 이력서(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"7-세그먼트" 검색결과 301-320 / 2,058건

  • 디지털논리회로 MULTISIM 레포트 7-segment
    다. 각 획 별로 필요한 다른 하나의 핀은 장치에 따라 공용 (+)극이나 공용 (-)극으로 배당되어 있기 때문에 소숫점을 포함한 7세그먼트 표시 장치는 16개가 아닌 9개의 핀 ... 공통형. 공통 단자는 그라운드에 연결되고, 5볼트가 입력되면 LED가 켜진다.7-세그먼트 원리에 대해 설명하는데 BCD-to-7 세그먼트를 기준으로 하겠다.BCD-to-7세그먼트 ... 이다. BCD-to-7세그먼트 디코더는 2진수를 10진수로 변환해 주기 때문에 집적회로 설계자에 의해 디코더라는 이름이 붙여졌지만, 실제로는 4비트 십진수를 7비트 코드로 변환
    Non-Ai HUMAN
    | 리포트 | 11페이지 | 1,000원 | 등록일 2017.06.28
  • FPGA 16스위치에서 7세그먼트출력 & 4비트가산기에서 7비트세그먼트출력
    하여 합산하여 나온 출력값을 dis_seg 을 이용하여 출력 c_out이 최상위비트이고 출력 s인 4bit를 더해서 총 5bit가 나오는 4bits 가산기(스위치입력)의 5개 7-s
    Non-Ai HUMAN
    | 리포트 | 10페이지 | 1,500원 | 등록일 2020.10.22 | 수정일 2021.04.15
  • A+ 디지털 시스템 실험 7-segment <5주차 예비보고서>
    거나 끄고 HBE-COMBO에서는 0일 때 켜진다. oS_ENS(SEG_DATA)는 7 세그먼트의 각 획(숫자를 구성하는 LED)이다. 원래대로라면 각 숫자모듈 하나당 각 획을 표시 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목7-segment ... 실험목표① 4bit binary 를 8bit BCD code 로 변환하는 컨버터를 라인 디코더를 이용해 설계② BCD 입력을 7-segment로 출력하는 디지털 회로 설계
    Non-Ai HUMAN
    | 리포트 | 7페이지 | 1,000원 | 등록일 2017.07.05
  • 판매자 표지 자료 표지
    [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    1. 문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용 ... 한 4×10 Decoderud_and4.vhdlibrary ieee;use ieee.std_logic_1164.all;--libraryentity ud_and4 isport( in1 ... , in2, in3, in4 : in std_logic;out1 : out std_logic);end ud_and4;--declare and2architecture sample
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • 7세그먼트 분석
    7세그먼트 표시기7세그먼트 표시기BCD-to-7세그먼트 디코더입력변수: 출력변수:A, B, C, D a ,b ,c , d , e ,f , g입출력 변수지정진리표(캐소드 공통
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 2,500원 | 등록일 2011.11.20
  • 8주차 예비 - 인코더와 디코더 회로
    에서 최대 n개의 출력항을 만든다. 이는 인코더 회로는 디코더회로의 반대기능을 지닌 회로라는 것을 의미한다.(3) 7-세그먼트 표시기(Seven Segment indicator ... ) : 7-세그먼트는 디지털 신호에 의해 발광 하는 LED가 7개의 세그먼트에 사용되는 디스플레이로 숫자를 표시할 수 있다. BCD-7 세그먼트 디코더는 BCD에서 십진숫자를 받아들이고 ... 변환기이다. 또한 이는 BCD 숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력은 밑의 진리표와 같고 이는 7-세그먼트의 입력이 된다. 7개의 출력에 대한 논리함수를 구해보면 아래와 같다.실험준비물
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,500원 | 등록일 2020.10.01
  • 7세그먼트 디코더 실험보고서
    실험보고서7-세그먼트 디코더1. 실험목적본 실험을 통해 BCD/7-세그먼트 디코더 드라이버에 대해 알아본다.2. 기초이론2.1 7세그먼트 표시기7세그먼트 표시기라고 하는 소자 ... 하도록 되어 있다. 여기서 막대모양 의 LED 하나 하나를 세그먼트라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다. 다음 그림 5.1은 7-세그먼트 ... 세그먼트 디코더BCD-to-7세그먼트 디코더는 그림 5.3에 나타낸 것과 같이 4비트로 구성된 BCD 값 을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b, ... ,g
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2020.04.26
  • 부경대학교 전자회로실험 보고서 인코더와 디코더
    실험과정 5.1에서 구성한 회로의 출력을 BDC/7-세그먼트 디코더 드라이버(74LS47)의 입력에 연결하라. 이 때 74LS47의 C와D의 입력 단자는 접지시킨다.5.4 74 ... LS47의 출력에 7-세그먼트 LED를 연결하여 0, 1, 2, 3의 4가지 입력에 대해 7-세그먼트 LED가 숫자를 제대로 디스플레이 하는지, 또한 우선순위대로 디스플레이 하 ... 를 만들고, 7-세그먼트 LED의 출력을 사진으로 첨부하시오.4진수BA7-segment000101210311고찰: AND, OR, NOT 게이트를 이용하여 우선순위 인코더를 구성
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 4,500원 | 등록일 2020.06.03 | 수정일 2025.05.09
  • 충북대학교 전자공학부 전자회로실험II 결과보고서 PWM을 이용한 DC 모터 속도 제어
    해 보았다. DC 모터의 경우 아주 잘 돌아갔지만 7-세그먼트에 그 값이 안 나왔는데 슈미트-트리거 회로 칩이 고장난 것이어서 잘 돌아가는 조의 칩으로 바꾸니 성공적으로 회로가 잘 ... 돌아갔다.속도가 느릴 때속도가 빠를 때3. 비고 및 고찰이번 실험은 DC 모터 속도 제어를 하고 Counting해서 7-세그먼트로 확인하는 실험이었다. 간단한 DC 모터 속도 ... 제어를 555타이머를 이용한 PWM 변조 방식을 통해서 최종적으로는 포토-인터럽트를 통해 측정하고 7-세그먼트로 확인하는 실험이었다.가변 저항을 변화 시키면서 모터의 속도가 변하
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 2,000원 | 등록일 2020.09.30
  • 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이
    . BCD/10진수 디코더BCD/7-세그먼트 디코더 드라이버는 입력받은 BCD 코드로 7-세그먼트 디스플레이 소자의 적정 요소를 on 시켜, 0에서 9까지의 숫자를 표시하는 장치이 ... 다. 아래 그림에서 7-세그먼트 디스플레이 소자 및 해당 소자에서 0부터 9까지의 숫자가 어떻게 표시되는지 나타내었다.7세그먼트의 각 요소를 a, b, ..., g로 표기하면, 각 ... ot bar A`+`D cdot bar C cdot bar B cdot A가 된다. 마찬가지로 다른 세그먼트에 대한 논리함수를 쓸 수 있다.74LS47(7-세그먼트 디코더)7
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 10,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 전자회로실습 4 결과 - DC 모터 속도 제어 및 측정
    의 동작에서 펄스파가 나오지는 않았지만, 노이즈가 많이 있는 파형이 주기적으로 나오는 것을 확인 하였고, 7-세그먼트를 연결하여 불이 들어오는 것도 확인할 수 있었습니다.(2) 회전 ... 이 나왔고, 약 4V의 전압을 주어 모터의 속도가 느릴 때는 37-39 정도의 숫자가 7-segment에 나왔고, 약 5V의 전압을 주어 모터의 속도가 빠를 때는 77-79 정도 ... 속도를 확인할 수 있었을 것이라고 생각됩니다.2. 성능 측정 결과(1) 포토 인터럽트의 출력 대신 함수발생기를 이용하여 카운터 및 7세그먼트의 동작을 확인한다.=> BCD카운터
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 2,000원 | 등록일 2020.10.02
  • 예비보고서(5) 부호기복호기
    다.▲ 그림 3 2-to-4 line 복호기, 4-to-2 line 부호기를 연결한 회로(3) 십진 수치의 표시7-세그먼트 LED 표시기는 7개의 LED로 구성되어 있다. LED ... 은 actie-high이다.BCD 포맷으로 부호화 된 수치를 표시하기 위해서, 그 BCD 코드들은 7-세그먼트에서 필요로 하는 논리 값들로 변환하는 디코더를 설계할 수 있다. 표 4.2 ... 에 보는 것 같이 각각의 10진 숫자에 대해 구동되어야 할 세그먼트들은 나열하는 진리표를 만드는 것으로부터 설계를 시작한다. 이표를 사용해서 7-세그먼트 각각에 대한 K-맵을 그리고
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 3,000원 | 등록일 2020.10.14
  • 한기대 컴퓨터네트워크 기말자료
    을 수행하는) 정확한 프로세스에게 애플리케이션 데이터를 넘기게 해준다. -> Mux와 Demux를 하기위해길이 필드는 헤더를 포함한 UDP 세그먼트의 길이를 나타냄체크섬은 세그먼트 ... ), SYN(syncronize), FIN(finish) -> Connection 설정Chapter 7 멀티미디어 네트워킹- QoS (Quality of Service ... 오디오와 비디오 스트리밍3) 실시간 대화형 오디오와 비디오7.1.3 멀티미디어를 더 잘 지원하려면 인터넷이 어떻게 발전해야 할까?1. 통합된 서비스- App이 종단-대-종단의 대역폭
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2020.08.18
  • 수체계 결과보고서
    3. 수 체계1.실험 목표이 실험에서는 다음 사항들에 대한 능력을 습득한다.●2진수 또는 2진화 10진(BCD) 수의 10진수로의 변환●BCD 숫자를 디코드 하여 7-세그먼트 ... 디스플레이 상에 표시하는 시스템 구성●가상적인 결함에 대한 회로의 고장진단2.실험회로도3. 결과값 + 실험사진입 력출 력2진수BCD 수7-세그먼트디스플레이00000 ... 결과로 디스플레이에 홀수만 표시된다.3Lamp test 입력선 접지로 단락7-segment의 모든 불이 켜진다.47447A 15번 핀에 연결되는 저항 개방f에 해당하는 s
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 2,000원 | 등록일 2020.05.19
  • 설계 보고서 - 자동판매기 회로
    을 사용자가 알 수 있도록 7 세그먼트를 이용하여 금액이 보여 질 수 있도록 한다. (세그먼트 표시를 위한 디코더의 진리표를 에 작성 하고, 논리식을 구현하라.)입력100자리 표시10 ... 00000000000000001111110001000000010110111111110501001100001111110111111010011000000000000001111110Don't care 동전의 투입을 표시하기 위한 7세그먼트 디코더의 진리표 7세그먼트 표시기100자리 ... 7411?SN7402SN 7432?SN7404SN 7486?SN7408그 밖의 설계자의 사양에 맞춘 각종 부품?SN7410(디코더, 플립플롭, 7 세그먼트 표시기등)
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 2,000원 | 등록일 2020.10.01
  • 전자전기컴퓨터설계실험2(전전설2) (8) 7-Segment and PIEZO Control
    . 실험 목적본 보고서에서는 베릴로그 HDL을 사용하여 7-세그먼트와 피에조 등 주변 디지털 장치 제어를 설계 및 실험한다. 7-세그먼트와 피에조 등의 컨트롤러를 행위수준 모델링 ... 으로 구현하고, 설계한 논리를 시뮬레이션하기 위한 테스트 벤치를 작성하고 장비로 동작을 확인한다.2. 실험 이론2.1. 7-Segment7-세그먼트 표시 장치(seven-segment ... 는 데 자주 사용된다. 7-세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 가로 획과 두 개의 세로 획이 배치되어 있고, 위쪽 사각
    Non-Ai HUMAN
    | 리포트 | 22페이지 | 2,000원 | 등록일 2019.10.12 | 수정일 2021.04.29
  • 충북대학교 전자공학부 기초회로실험 인코더와 디코더 회로 결과보고서
    은 디코더, 인코더 그리고 디코더를 이용한 7-세그먼트에 결과 값을 출력해보는 실험이었다. 디코더는 시스템 내부에서 처리된 디지털 신호를 아날로그 신호로 바꾸어주는데, n개의 입력 ... 이보다 적은 수의 입력 신호로부터 n개의 출력 신호를 만든다.7-세그먼트 실험에서는 디코더에 직접 입력을 넣어주고 표시기를 통해서 0~9 까지의 숫자를 출력해보는 실험을 하 ... _{3}0*************0100110001(2) 다음은 7-segment의 진리표이다. 회로를 구성하여 7-segment LED를 구동확인하고 0~9숫자의 논리식을 표시하라
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 판매자 표지 자료 표지
    조선대 전자회로실험 디지털시계 과제 레포트
    디지털 시계 제작 2조 Ⓒ땅콩Contents Ⅰ 디지털 시계 Ⅱ 작동 원리 Ⅲ 재료 설명 설치 방법 Ⅳ 완성 사진 。브레드 보드 。7-세그먼트 。 IC 패키지 。 。디지털 시계 ... /드라이버로서 7447을 사용하여 7-세그먼트 LED를 구동하기떄문에 7-세그먼트 LED는 공통 애노드형을 사용합니다.동작원리 디지털 시계 발진 회로 분주 회로 디코더 회로 카운터 회로 ... 설계한 카운터드르이 값을 눈으로 볼 수 있도록 7-세그먼트를 사용하여 숫자를 표시한 것이다. 7447 디코더/드라이버로 7-세그먼트 LED 를 구동한다. LED 가 애노드 공통형이
    Non-Ai HUMAN
    | 리포트 | 19페이지 | 1,500원 | 등록일 2019.11.07 | 수정일 2019.12.07
  • 텀 프로젝트 : 7-Segment 표시논리
    < 텀 프로젝트 : 7-Segment 표시논리 >07471092 전자정보공학부반도체설계공학전공 04분반강태현1. 설계목적: 7-세그먼트 표시장치(이하 세븐세그먼트)는 문자나 숫자 ... 다.브레드보드, 7-Segment, IC(3input AND, 2input AND, OR, NOT gate), 저항기, 5.5V 전압원을 카르노맵으로 간략화한 논리식에 따라 논리회로 ... 를 쿼터스 프로그램에 그려 넣는다. 그리고 회로도에 따라 그대로 브레드보드에 논리회로를 구현하여 4bit 16진수 입력으로 1서부터 F까지 7-Segment로 디코딩할 수 있다.2. 설계
    Non-Ai HUMAN
    | 리포트 | 20페이지 | 2,500원 | 등록일 2012.04.17
  • OSI 7계층에 대하여
    과목명 : 정보통신개론과제 주제 : OSI 7계층에 대하여 계층별 서술하시오.OSI 7계층에 대하여OSI (Open Systems Interconnection)이란?OSI 모델 ... 하여 통신 할 수 있게 하였다. 즉 서로 다른 컴퓨터 시스템이 서로 통신 할 수 있는 표준을 제공한다. OSI는 7 개의 계층으로 구성되며 각 계층은 특정 네트워크 기능을 수행한다. 각 ... 계층은 독립적이므로 각 계층에 할당 된 작업을 독립적으로 수행 할 수 있다.7 계층은 아래와 같이 구성 된다.물리 계층 : 1 계층이 계층에는 케이블 및 스위치와 같은 데이터 전송
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 2,500원 | 등록일 2020.10.18
  • 전문가요청 배너
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 11월 11일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:48 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감