디코더, 인코더 설계 예비보고서
- 최초 등록일
- 2014.07.25
- 최종 저작일
- 2014.04
- 8페이지/ 한컴오피스
- 가격 1,000원
목차
1. 실험 목표
2. 예비 이론
(1) 디코더(Decoder)
(2) 인코더(Encoder)
※ 설계 과제 이론
(1) 7 segment
(2) BCD to 7 segment Decoder
3. 실험 내용
3-1. 실험 1. 3×8 디코더를 설계하시오.
(1) 동작적 표현 (if문 사용)
(2) 자료흐름적 표현 (when-else문 사용)
3-2. 실험 2. 8×3 인코더를 설계하시오.
(1) 동작적 표현 (case문 사용)
(2) 자료흐름적 표현 (with-select-when문 사용)
4. 출처 (Reference)
5. 고찰
본문내용
1. 실험 목표
- 디코더와 인코더의 역동작 관계와 작동 원리를 이해하고, 디코더와 인코더를 설계한다. 설계를 할 때 동작적 표현과 자료흐름적 설계의 if, case문, when-else, with-select-when문 등을 이용하여 설계한다.
2. 예비 이론
(1) 디코더(Decoder)
- 해독기라고도 하며, 인코더의 역동작 회로이다.
- N비트로 된 2진 코드는 서로 다른 정보 2n개를 표현할 수 있다.
- 디코더는 입력선에 나타나는 n비트 2진 코드를 최대 2n가지 정보로 바꿔주는 조합논리회로이다.
- n개의 입력으로 들어오는 데이터를 받아 그것을 숫자로 보고 2n개의 출력 중 그 수에 해당하는 출력에 1을 내보내고, 나머지는 0을 내보내는 논리회로이다.
- enable 단자가 있는 디코더와 각종 코드를 상호 변환하는 디코더도 있다.
- 2×4 디코더의 논리회로(좌) 및 블록도(우)는 다음과 같다.
<중 략>
) 자료흐름적 표현 (when-else문 사용)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity A9_KSH_HSY is
port (I : in STD_LOGIC_VECTOR (2 downto 0);
O : out STD_LOGIC_VECTOR (7 downto 0) );
end A9_KSH_HSY;
architecture Behavioral of A9_KSH_HSY is
begin
O <= "00000001" when I = "000" else
"00000010" when I = "001" else
"00000100" when I = "010" else
"00001000" when I = "011" else
"00010000" when I = "100" else
"00100000" when I = "101" else
"01000000" when I = "110" else
"10000000" when I = "111" else
"--------";
end Behavioral;
참고 자료
아이캠퍼스(논리회로설계실험) 6주차 강의자료 : www.icampus.ac.kr
디코더 : http://blog.naver.com/asd7979?Redirect=Log&logNo=30109696003
7 segment : http://ko.wikipedia.org/wiki/7%EC%84%B8%EA%B7%B8%EB%A8%BC%ED%8A%B8_%ED%91%9C%EC%8B%9C_%EC%9E%A5%EC%B9%98
BCD to 7 segment decoder : http://cafe.naver.com/2hw/2475