• 통합검색(18)
  • 리포트(16)
  • 자기소개서(2)
EasyAI “VHDL 텀프로젝트” 관련 자료
외 1건 중 선별하여 새로운 문서 초안을 작성해 드립니다
생성하기
판매자 표지는 다운로드시 포함되지 않습니다.

"VHDL 텀프로젝트" 검색결과 1-18 / 18건

  • [VHDL]주차 안내 시스템 텀프로젝트
    [parking][db]cmp_state.inidisplay_line.vhdparking.asm.rptparking.doneparking.fit.eqnparking.fit.rptparking.fit.summaryparking.flow.rptparking.map.eqnp..
    리포트 | 9페이지 | 1,000원 | 등록일 2006.12.09 | 수정일 2016.05.18
  • [토끼] VHDL로 구현한 [시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기] 텀프로젝트
    응용논리 텀프로젝트 최종 보고서조지 도 교 수주 제시계와 스톱워치가 내장된 자동차 연료 잔류량 표시기조 원1. 주 제 설 명고유가 시대에 자동차를 운전하다 보면 많은 돈을 들여
    리포트 | 43페이지 | 5,000원 | 등록일 2013.01.17 | 수정일 2020.07.10
  • 판매자 표지 자료 표지
    NHN 신입사원 서류 합격 자기소개서
    된 기능을 완벽하게 익혔습니다.* 디지털 시스템 설계 A0VHDL을 이용하여 모니터, 키보드를 연동시켜 게임을 만드는 프로젝트를 진행하였습니다. 기존의 단순 모니터상에 그래픽을 출력 ... 하는 작품이 아니라 직접 키보드를 이용하여 방향을 조절하고 버튼으로 특정 동작을 만들어 다음 학기부터 사람들의 예제로 쓰이는 작품으로 거듭났습니다.* 협업능력을 배운 팀프로젝트 A ... +한학기 동안 진행하는 텀프로 젝트였습니다. 작품 아이디어 선정부터 팀원과의 의견충돌이 있었지만 자신의 의견을 주장하기 보다는 서로 소통을 통해 최선의 협의점을 찾았고 이를 통해
    자기소개서 | 2페이지 | 3,000원 | 등록일 2022.06.30 | 수정일 2022.07.03
  • 서강대학교 디지털논리회로실험 - 실험 5. Arithmetic comparator, Adder and ALU 결과 보고서
    하였다. VHDL로 설계시 실험 에서 사용할 수 있는 TTL 소자의 개수 및 종류와 fan-in, fan-out을 고려하여 처음 설계한 회로를 변 형할 필요가 없으므로 매우 편리하다. 남은 실험과 텀프로젝트에 대비하여 VHDL에 대해 충분히 숙 지할 필요가 있을 것 같다. ... 게이트 및 VHDL로 구현한다.2) 1-bit full adder를 기본 게이트 및 VHDL로 구현한다.3) 3-bit ripple-carry adder를 기본 게이트 및 VHDL ... arithmetic comparator를 VHDL로 구현VHDL 코드 및 시뮬레이션 결과는 다음과 같다.[그림 9][그림 10]이때, 실험 1)과 마찬가지로 a2~a0는 DIP
    리포트 | 8페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 디지털 설계 및 실습 기말 텀 프로젝트
    디지털설계 및 실습 과목의 기말고사 텀 프로젝트로써 한 학기동안 배운 VHDL을 응용하여 조에서 설계하고자 하는 프로젝트의 목적과 맞게 프로젝트를 만들어본다. 그 중 우리 7조 ... Tic-Tac-Toe 게임과목디지털설계 및 실습담당교수최영식 교수님학과전자공학과분반103분반조7조조원200911572 김정환200911693 이성호1. 프로젝트 목적3학년 1학기 ... 는 게임이라는 큰 틀 아래 다른 조들과는 차별화되는 코드설계를 통해 색다른 프로젝트를 구현하고자 노력했다.2. 프로젝트 설계가. 주제선정우리는 제일 처음 프로젝트 설계할 때
    리포트 | 9페이지 | 2,000원 | 등록일 2013.09.12
  • VHDL 프로젝트 두더지게임기 구현
    두더지 게임 VHDL 코드 입니다. 사용한 프로그램은 Quartus2입니다. 알집파일엔 보고서와 프로그램 실행파일이 있고 프로그램이 깔려있다면 바로 실행가능합니다.보고서엔 코드
    리포트 | 9,000원 | 등록일 2016.11.03 | 수정일 2017.11.15
  • VHDL코드를 이용한 4비트 감가산기 구현
    -설계 배경디지털 시스템 수업시간에 익힌 내용을 토대로 quartus로써 vhdl code를 작성하여 Digcom v3.2로써 3단스위치와 세그먼트를 이용한 4비트 감가산기를 구현하기 ... 해 출력⑤overflow가 발생시 LED D7에 불이 들어오고, underflow 시 D8에 불이 들어온다.결과VHDL CODE를 이용하여 소스를 구성하고 이를 Digcom v3.2 ... 에 구현을 하여 정상 작동하는지 확인 해보았다.비고이oo4비트 감가산기 설계1. 설계 목적- 디지털 시스템 수업시간에 익힌 내용을 이용하여 감가산기의 원리를 이해하고 VHDL c
    리포트 | 8페이지 | 1,000원 | 등록일 2020.05.19
  • VHDL과 FPGA 실습 키트를 이용한 묵찌빠 게임 [디지털논리회로 실험 Term Project]
    을 일으킬 계획이다. 본 프로젝트에 서 구현하고자 했던 기능는 구체적으로 다음과 같다. 1) 사용자와 KIT간의 7전 4선승제 묵찌빠 게임 2) 사용자와 KIT의 승리 ... 과 Reset은 푸쉬버튼을 이용2. 본론 1) 상태도 및 전체 블록도 본 프로젝트의 상태도 및 전체 블록도는 아래와 같다. 전체 블록도는 크게 세 부분으로 나누어 살펴보
    리포트 | 28페이지 | 4,000원 | 등록일 2020.04.24 | 수정일 2020.04.27
  • VHDL이용한 주유소 표시(자판기 업그레이드 버젼)
    VHDL을 이용한 주유소 나타내기 (텀프로젝트)Component 문을 사용하여 VFD(LCD), LED, DOT-LED,Seven-Segment 를 구동 시키는 프로그램1
    리포트 | 28페이지 | 2,000원 | 등록일 2009.06.24
  • VHDL을 이용한 디지털 시계 구현
    두 번째 term project Digital Clock1. VHDL을 이용한 Digital Clock 설계library ieee;use ieee.std_logic_1164
    리포트 | 4페이지 | 1,500원 | 등록일 2009.06.24
  • 부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) 발표PPT포함
    부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) ->시간표시,시간설정, 알람, 스톱워치,요일표시중간, 최종발표PPT포함
    리포트 | 4,000원 | 등록일 2016.02.17 | 수정일 2019.06.10
  • VHDL 디지털시계 최종보고서 시뮬레이션(소스 포함)
    으로 모두 만족했기에 이번 2학기 팀 프로젝트는 디지털시계에 도전하기로 조원들과의 회의로 최종 결정 되었습니다. 개발 목적/목표Term Project 목적은 2학기 동안 VHDL 수업 ... 에서 배운 내용을 프로젝트를 통해 이해를 하고 실제 시장에서 저희가 만든 제품이 얼마나 판매되고 사용될지는 모르겠지만 다만 VHDL을 배우고, 상품을 만듬으로 해서 저희 조원이 저희 ... VHDL- 디지털 시계-Term PROJECT5조200##### ###※ 목차 ※1. 작품 선정동기 및 개발 목적/목표2. 개발내용- 소스코드- 시뮬레이션1. 작품 선정동기 및
    리포트 | 15페이지 | 1,500원 | 등록일 2013.01.26 | 수정일 2023.03.08
  • VHDL로 구현한 디지털시계 (EP1C6Q240C8)
    리포트 | 2,000원 | 등록일 2015.09.19 | 수정일 2019.06.10
  • 응용논리회로 텀프로젝트 제안서
    VHDL표현을 가장 잘 활용 할 수 있는 것 중 하나가 디지털 시계제작이라고 판단되어 이번 프로젝트를 바탕으로 VHDL에 대해서 좀더 익숙해 지는 계기를 마련하기 위하여 선정하였습니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.10.13
  • VHDL 프로젝트, 볼링스코어 계산 시스템
    볼링 스코어 계산 시스템1) 볼링 스코어 계산 원리위에 보는 것처럼 볼링은 기본적으로 10프레임으로 이뤄져 있으며 볼링핀 역시 총 10개가 있습니다. 한 프레임당 공을 두 번 굴릴 수 있으며 마지막 10프레임은 두 번 굴렸을 때 스트라이크나 스페어가 나온 경우에만 한번..
    리포트 | 20페이지 | 5,000원 | 등록일 2009.11.11
  • [디지털논리회로] dash Watch (STOP WATCH) VHDL로 설계하기[쿼터스]
    하였다.이것으로 컴퓨터구조 텀 프로젝트 보고서를 마치겠습니다. 감사합니다.14. 참고자료? 디지털 시스템 설계를 위한 VHDL 기본과활용. - 박세현, 그린.1998? 디지털공학 및 ... ?개인별 설계 프로젝트?최종 보고서?< DASH WATCH >목 차0. 추진계획 ------------------------------------------ 11. write a ... --------------------- 69. VHDL 코딩 --------------------------------------- 610. Simulation ----------
    리포트 | 30페이지 | 2,500원 | 등록일 2009.05.09
  • [디지털회로]VHDL을 통한 자판기 구현 PPT
    VHDL을 통한 자판기 구현그림 1 은 자판기(vending machine)의 블록도이다. 자판기(vending machine)는 6개의 키패드 스위치를 가지며 해당 키패드 ... 도3 VHDL 코드 설계library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ... _vector(6 downto 0); begin3 VHDL 코드 설계case cnt is when 0 = seg_decode := 0111111 ; when 1 = seg_decode
    리포트 | 18페이지 | 3,000원 | 등록일 2008.12.15
  • LS산전 합격 자기소개서 (2008년 하반기)
    년도에는 개발된 기반 기술을 이용하여 지능 제어 기자재를 개발하는 것을 목표로 합니다.저는 이 프로젝트에서 신경회로망 연산이 가능하도록 하는 신경망 칩을 VHDL로 설계 ... 텀 프로젝트 말고는 전자 제어 시스템을 만들어 본 경험이 없는 저는 과연 합격 가능성이 있을지 의심하기 시작하며 자신감을 점차 잃어 갔습니다. 하지만, 끝까지 해보지 않으면 알 ... 수 없다는 생각에 비록 높은 기술력을 가진 것은 아니었지만, 마이크로 프로세서의 텀 프로젝트 결과물을 가지고 제 아이디어를 논리적으로 설명하였습니다. 결국에는 심사위원들로부터 좋은 평가를 얻을 수가 있었고, 합격하여 소중한 경험을 할 수 있었습니다.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2009.07.19
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 28일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:26 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감