• AI글쓰기 2.1 업데이트
  • 통합검색(1,581)
  • 리포트(1,511)
  • 시험자료(47)
  • 방송통신대(9)
  • 자기소개서(5)
  • 논문(4)
  • ppt테마(3)
  • 서식(2)
판매자 표지는 다운로드시 포함되지 않습니다.

"TD50" 검색결과 1-20 / 1,581건

  • 독성학의 이해
    : 집단의 50%에서 치료효과를 나타내는 약물의 용량(유효용량)③ TD50(반수중독량, Toxic Doses 50%) : 집단의 50%에게 유해한 독성 작용을 일으키는 용량(부정적), ... (MOS)(1) 치료계수(상대안전도)- 약물이나 화학물질의 안전성정도를 나타냄 ⇒ Tl = LD50 / ED50 & TD50 / ED50)- 치료 지수가 높으면 독성이 나타나기 어려워지 ... 하지 않을 때천장효과를 일으키는 용량이상에서는 흔히 원하지 않는 효과 특히 독성효과가 관찰됨① LD50(반수치사량, Lethal dose 50%) : 통계적으로 개체의 50%가 죽
    리포트 | 2페이지 | 1,500원 | 등록일 2024.05.27
  • 판매자 표지 자료 표지
    A+ 실험설계 레포트_PE-PP 혼합 비율에 따른 열적 특성과 필름 제조 연구
    방법실험 조건PE:PP = 20:80 / 30:70 / 40:60 Blending의 TGA 측정PE:PP = 10:90 / 20:80 / 50:50 Blending의 DSC 측정 ... PE:PP = 10:90 / 20:80 / 50:50 Blending의 film 제작 (180℃)PE:PP = 40:60 Blending (180℃, 60rpm, 10min)2.1 ... : 6.400 mgPE:PP = 20:80: 5.700 mgPE:PP = 50:50: 6.100 mg초기 온도: 25℃, 승온 속도: 0.33℃/s, 최종 온도: 200℃2.2 TGA
    리포트 | 7페이지 | 5,000원 | 등록일 2024.11.26
  • 판매자 표지 자료 표지
    A+ 실험설계 레포트_Poly(ethylene terephthalate)와 Poly(butylene terephthalate)의 50 50 비율 블렌딩 및 온도 조건에 따른 특성 분석
    (Td)에 기반하여 최적의 블렌딩 조건을 찾고자 하였다.2. 실험 방법2.1. 시료 준비실험에 사용된 PET와 PBT는 각각의 순수한 형태로 준비되었다. 두 고분자는 50:50 비율 ... Poly(ethylene terephthalate)와 Poly(butylene terephthalate)의 50:50 비율 블렌딩 및 온도 조건에 따른 특성 분석목차1. 서론2 ... 다. 본 연구에서는 PET와 PBT를 50:50 비율로 블렌딩하고, 이를 Haake 믹서를 사용하여 열적 특성과 혼합 특성을 분석하였다. 본 실험은 두 고분자의 융점(Tm)과 분해온도
    리포트 | 6페이지 | 5,000원 | 등록일 2024.11.26
  • 판매자 표지 자료 표지
    평가 데이터를 활용하여 기존 모델을 업데이트하는 베이지안 추론 (파이썬코드 예제포함)
    분포는 평균이 50이고 표준편차가 10인 정규 분포로 설정되어 있습니다.우리는 몇 개의 관측 데이터를 가지고 있으며, 이를 사용하여 모델의 파라미터를 추론하고 사전 분포를 업데이트 ... .6, 10.2, 6.5, 51.7, 8.0]로 주어지고, 사전 분포로는 평균이 50이고 표준편차가 10인 정규 분포가 사용됩니다.이 코드를 실행하면 데이터와 사전 분포를 기반 ... )prior_dist = dist.Normal(50, 10)def model(data):prior_mean = pyro.sample('prior_mean', prior_dist)prior
    리포트 | 7페이지 | 2,500원 | 등록일 2023.06.07
  • VHDL-1-가산기,감산기
    )0000101001112.1 소스코드 설명(VHDL)소스코드설명library ieee;use ieee.std_logic_1164.all;entity Half_Adder isport( X : in ... std_logic;Y : in std_logic;S : out std_logic;C : out std_logic);end Half_Adder;architecture ... 한다.마지막으로 temp2와 temp3를 OR 게이트를 통과시켜서 CarryOut을 구한다.3. 시뮬레이션 결과 및 설명SchematicVHDLX는 50ns 간격으로, Y는 100ns
    Non-Ai HUMAN
    | 리포트 | 34페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.03.29
  • 판매자 표지 자료 표지
    A+ 실험설계 레포트_PP-PE 혼합 조건에 따른 플라스틱 용기 특성 연구
    )를 측정하여 두 고분자의 상호작용 정도를 분석.3.2.2 TGA 분석초기 온도: 30℃ / 최종 온도: 500℃ / 승온 속도: 30℃/min분해 온도(Td)를 통해 혼합물의 열 ... 을 보였음을 보여준다. 이는 두 고분자가 서로의 결정구조에 미치는 영향이 다르게 나타났기 때문으로 분석된다.4.3 TGA 분석 결과PP:PE = 70:30: 분해 온도(Td ... )가 387.1℃로 관찰되어 높은 열적 안정성을 유지하였다.PP:PE = 60:40: 분해 온도(Td)가 365.8℃로 감소하였으나, 예상보다 감소 폭이 크지 않았다. 이는 혼합물 내
    리포트 | 7페이지 | 5,000원 | 등록일 2024.11.26
  • (한/영)설비보전 예방 지표관리 개요
    +T3 50min+T4 40min ) 4 회 (times) = ( ta 1 건 + tb 1 건 + tc 1 건 + td 1 건 ) timing = 40 분 (min) / 건 ... failure times) = ∑ 고장 정지시간 ∑ 고장건수 Example) 40 분 (min) T1 가동 (operating) 30 분 (min) T2 가동 (operating) 50 ... min+T2 10min+T3 20min+T4 5min ) 4 회 (times) = ( ta 1 건 + tb 1 건 + tc 1 건 + td 1 건 ) timing = 10 분
    리포트 | 5페이지 | 2,000원 | 등록일 2023.07.07
  • [분석화학실험 A+] 부피 측정용 기구 사용법 및 검정 결과 보고서
    Abstract부피를 측정하는 것은 많은 분석 방법에서 중요하다. 부피 측정용 기구인 뷰렛의 사용법 및 주의사항을 숙지하고, 50 mL 뷰렛의 검정을 시행했다. 50mL 뷰렛 ... flask)가 사용된다. 부피 측정 기구들에는 TD(To deliver), TC(To contain)와 부피는 온도의 영향을 받기에 검정 시의 온도가 표시되어 있다.피펫은 0.5 ... 는 주로 TD 인데, 보정 형태가 TD 인 경우에는 용액을 옮긴 후에 피펫 끝에 맺힌 방울을 불어내어서는 안된다. 피펫을 사용할 때에는 피펫을 옮기고자 하는 용액으로 세척해 준 후
    리포트 | 9페이지 | 3,000원 | 등록일 2023.05.08
  • 판매자 표지 자료 표지
    A+ 실험설계 레포트_PET PBT 블렌딩 필름의 열적 특성 분석
    .9920:80236.704.3 TGA 분석 결과TGA 측정 결과, PET의 비율이 증가함에 따라 분해온도(Td)는 감소하는 경향을 보였다. 50:50 비율의 블렌드는 325°C에서 분해 ... 온도(Td) 등의 변화와 필름 품질을 비교하여, 최적의 블렌딩 비율을 도출하는 것을 목표로 한다.3. 실험 방법3.1 사용된 재료폴리에틸렌 테레프탈레이트(PET)폴리부틸렌 테레프 ... 와 같다:비율: 50:50, 20:80, 80:20온도: 265°C압력: 5-10 MPa시간: 15분3.3 DSC 및 TGA 측정 방법DSC와 TGA 측정은 다음과 같은 조건에서 수행
    리포트 | 6페이지 | 5,000원 | 등록일 2024.11.26
  • 판매자 표지 자료 표지
    A+ 실험설계 레포트_PE-PP 혼합 조건이 비닐봉투 특성에 미치는 영향에 대한 연구
    다음과 같은 실험을 진행하였습니다.TGA(열중량 분석)를 통해 PE:PP 혼합 비율이 0:100, 50:50, 10:90일 때의 분해온도(Td)를 측정했습니다.DSC(시차 주사 열량 ... (Td)는 다음과 같았습니다.PE:PP = 0:100: 389.09℃PE:PP = 10:90: 362.07℃PE:PP = 50:50: 383.68℃TGA 분석 결과, PE와 PP ... ℃, 승온속도 30℃/min, 최종온도 500℃PE:PP = 50:50: 8.1620 mg, 초기온도 30℃, 승온속도 30℃/min, 최종온도 550℃PE:PP = 10:90
    리포트 | 7페이지 | 5,000원 | 등록일 2024.11.26
  • 판매자 표지 자료 표지
    단 3개의 데이터만 가지고 모델 추정하기 (베이지안 추정, Python source code 예제 포함)
    amples = trace['b']for a_, b_ in zip(a_samples[::50], b_samples[::50]):y_pred = a_ * x_data_smooth + b ... .outer(trace['b'], np.sin(x_data_smooth))y_pred_mean = np.mean(y_pred_samples, axis=0)y_pred_std = np.s ... td(y_pred_samples, axis=0)plt.fill_between(x_data_smooth, y_pred_mean - y_pred_std, y_pred_mean + y
    리포트 | 8페이지 | 2,500원 | 등록일 2023.08.15
  • 판매자 표지 자료 표지
    성인 예방접종(대상포진, 인플루엔자, 폐렴사슬알균, Td/Tdap)
    성인 예방접종 - 대상포진 , 인플루엔자 , 폐렴사슬알균 , Td/Tdap대상포진 (herpes zoster)1. 질환의 특징 수두를 일으켰던 수두 - 대상포진바이러스가 감염 후 ... 후근신경절이나 뇌신경절에 잠복해 있다가 재활성화 되어 발생하는 질환 호발 연령 : 주로 50 세 이상 에서 흔하며 , 연령이 증가할 수록 발병률 증가 (60-70 대에서 가장 ... 포진 51.3% 예방 (SPS 연구결과 ) 50-59 세 대상포진 69.8% 예방 참고 ) 스카이조스터는 3 상 임상시험에서 조스타박스와 면역원성 , 안전성만 비교함 . 임상연구
    리포트 | 26페이지 | 2,500원 | 등록일 2023.04.28
  • 판매자 표지 자료 표지
    [일반화학실험 A+ 레포트] 정확도와 정밀도
    하고 측정하면 된다. 부정확한 눈의 위치(시차)는 부피 측정치의 오차를 만든다.오목한 메니스커스(물)볼록한 메니스커스(수은)5) 부피와 질량 측정 기구① Tc와 Td 용기Td는 ‘To ... deliver’의 약자로 ‘액체 물질을 덜어 옮기는 데 사용되는 기구’를 뜻한다. Td 용기의 공통점은 유리관 모양으로 용기의 양 끝이 뚫려있다는 것으로 대표적으로는 스포이트 ... 용기에 옮길 때는 Td를 이용하고 일정한 양의 액체를 만들어서 그 중 일부만을 사용할 때는 Tc를 사용한다.② 비커(beaker)비커는 주로 액체를 넣어 각종 반응, 가열, 냉각
    리포트 | 6페이지 | 1,000원 | 등록일 2024.04.17
  • VHDL_5_Digital Watch, 1초 생성기, 60진24진 카운터, MUX, FND decoder
    실습제목: 1초 생성기1. 주제 배경 이론DE2 보드는 클럭으로 50MHz를 사용한다. 이것은 1초에 50,000,000번 진동한다는 뜻이다. 한 번 진동하는데 걸리는 시간 ... 은 역수를 취하면 얻을 수 있고 20ns가 나온다. 20ns * 50,000,000 = 1s이다. 따라서 주파수를 분주할 때 25,000,000번째 클럭에서 부호를 바꿔주면 주기가 1초 ... 인 펄스 하나를 만들 수 있다.시뮬레이션에서는 1초를 볼 수 없기 때문에 부호를 2,500번째 클럭에서 바뀌도록 했다.2.소스코드 설명1~2)std_logic 형 선언을 위한
    Non-Ai HUMAN
    | 리포트 | 22페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 판매자 표지 자료 표지
    화공시스템실험 바이오디젤제조공정 결과레포트
    까지만 분석한다.② 면적이 50mV*s를 초과하는 peak만 분석한다.4)실험조건1차 실험실험123Oil:EtOH1:61:91:12촉매0.5wt%0.5wt%0.5wt%온도50수식입니다 ... .CENTIGRADE50수식입니다.CENTIGRADE50수식입니다.CENTIGRADE교반속도300RPM300RPM300RPM-> 반응물의 몰비에 따른 수득량 비교2차 실험실험456 ... Oil:EtOH1:91:91:9촉매0.25wt%0.75wt%0.5wt%온도50수식입니다.CENTIGRADE50수식입니다.CENTIGRADE60수식입니다.CENTIGRADE교반속도
    리포트 | 6페이지 | 3,000원 | 등록일 2025.01.10 | 수정일 2025.01.14
  • 판매자 표지 자료 표지
    요로감염 UTI(urinary tract infection) case study
    한 역할을 함21.9~50.3(%)9.1▼19.0▼21..5▼6.6▼▼ 백혈병, 패혈증, 면역결핍성 질환, HIV 감염 말기, 호지킨병, 쿠싱증후군, 화상 ... 의 진단, 경과 관찰에 이용0.00~0.50(mg/dL)11.69▲11.08▲2.89▲11.21▲▲ 감염성 질환이나 자가면역질환특수검사(검사명, 검사일, 검사결과 기록)1. 검사일 ... 는 경우 보고하도록 한다.?기타 치료 현황(시행한 날짜를 포함하여 기록)외과적 중재 (수술)-식이요법11/8 TD 저 잔사식(죽+반찬)11/9 TD 저 잔사식(죽+반찬)11/10 TD
    Non-Ai HUMAN
    | 리포트 | 25페이지 | 2,000원 | 등록일 2022.10.30
  • 판매자 표지 자료 표지
    방통대 보건정보데이터분석 A+
    (formula = Surv(time, status) ~ 1, data = 간암수술환자재발,conf.type = "log-log")time n.risk n.event survival std ... 50 75713 849 1399$lower25 50 75348 688 849$upper25 50 75849 1399 NA> #누적한계추정값과 95% 신뢰구간 그래프> plot
    방송통신대 | 6페이지 | 3,000원 | 등록일 2024.01.25 | 수정일 2024.02.19
  • 판매자 표지 자료 표지
    항체 생성 면역 반응 유도를 통해 생성된 항체의 확인과 ELISA를 이용한 정량적 분석
    게 참여하는 특징이 있다.- TD antigens: B cell이 antigen에 대한 항체 반응을 활성화하기 위해 T세포의 도움이 필요로 하게 하는 antigen.- TI ... , IgG를 정량적으로 분석하고자 한다. 5가지 조건으로 stimulator를 설정하였는데, 첫번째 조건은 아무것도 없는 non-stimulation, 두번째 조건은 TD antigen ... signal만 넣은 경우(α-IgM), 세번째는 TI antigen만 넣은 경우(LPS), 네번째 조건은 TD antigen signal과 T helper cell signal
    리포트 | 11페이지 | 3,000원 | 등록일 2024.09.26
  • AJAX 강의 02강
    … / th /tr … tr td … /td /tr … tbody tfoot … / tfoot /table tr tr td td자바스크립트 (JavaScript) button ... 서드 문자열형식의 숫자를 정수형 변수로 바꿔줍니다 . Ex)var n1 = “50”; var n2 = Number(n1) // n1 : String, n2 : Int Array
    Non-Ai HUMAN
    | 리포트 | 16페이지 | 3,000원 | 등록일 2021.12.03
  • 판매자 표지 자료 표지
    생명과환경2공통 전세계에서 미세먼지 평균 농도가 가장 높은도시부터 10번째로 높은도시까지를 조사하고 원인을 찾아보시오00
    (N`Djamena, TD)는 세계 국가 수도 중에서 평균 초미세먼지(PM2.5) 농도가 89.7μg/m³로 가장 높게 나타났다. 2위는 인도 뉴델리(New Delhi, IN ... 의 수도 은자메나(N`Djamena, TD)는 9배나 높았다.다른 사이트 조사에 의하면 세계적으로 미세먼지가 가장 많아 공기오염도가 나쁘것으로 나타난 것을 열거해보면 다음과 같 ... 는 아니라 국외에서 유입된 오염물질도 우리나라 대기에 영향을 미친다. 연구결과에 의하면 대기오염물질 중 30~50% 내외가 국외에서 유입된 것이라 한다.국내외적으로 난방용 연료사용
    방송통신대 | 6페이지 | 6,000원 | 등록일 2024.03.05
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2026년 01월 08일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감