• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(865)
  • 리포트(722)
  • 논문(93)
  • 시험자료(39)
  • 자기소개서(6)
  • 방송통신대(2)
  • ppt테마(2)
  • 이력서(1)

"Input-output model" 검색결과 1-20 / 865건

  • 파일확장자 교통투자에 따른 지역간 파급효과 분석 (Inter-Regional Input-Output Model for the Analysis of the effect of Transport Investment)
    교통투자 관련 정책 결정 시 현재까지는 수요예측 연구에 중점을 두고 사업이 진행되고 있으나 수요예측의 정확도 문제와 더불어 최근 교통투자 시업과 관련한 지역간 갈등이 대두되면서 교통 투자 사업을 결정하는데 있어 지역적 특성 및 지역균형개발 문제를 고려할 수 있는 분..
    논문 | 6페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파일확장자 교통시설 투자의 지역경제 파급효과 추정을 위한 다지역 산업연관 모형 구축 및 분석 (Estimation of the Multi-Regional Input Output Model)
    교통시설 개발은 지역간 접근도 향상, 기존산업과의 수송비 절감, 교역규모 확대와 같은다양한 경제⋅사회적 변화를 가져온다. 또한 교통시설 개발은 일반적으로 상당한 비용이 소요되게 된다. 따라서 다지역 산업연관모형을 구축하고 이용하여 막대한 비용이 투입되는 공공..
    논문 | 6페이지 | 3,000원 | 등록일 2015.03.25 | 수정일 2017.02.02
  • 파일확장자 Input-Output Analysis of Service Robot Industry
    This study attempts to analyze the economic impact of the service robot industry using Input-Output ... analysis, which is conducted based on Demand-driven model, the Leontief price model, the Backward and ... In a Demand-driven model analysis, we can conclude that the service robot industry contains characteristics
    논문 | 8페이지 | 4,000원 | 등록일 2023.04.03
  • 한글파일 영어 학습 이론과 실제-기말고사 예비 문항 및 답변
    The difference between Krashen’s comprehensible input hypothesis and Swane’s comprehensible output hypothesis ... ’s comprehensible output hypothesis? ... Describe Krashen’s Monitor model with its strong and weak points.
    시험자료 | 3페이지 | 1,500원 | 등록일 2023.07.12 | 수정일 2023.07.17
  • 워드파일 논리회로설계실험 4주차 MUX 설계
    보면 output들의 wave는 behavioral modeling, dataflow modeling, gate-level modeling 모두 파형이 같음을 알 수 있다. ... _1_TO_4_G 부터 OUTPUT4_1_TO_4_G는 각각 gate-level modeling의 output1 부터 output4 까지의 파형을 나타낸다. 1000ns 이후의 그래프를 ... 조건 연산자를 활용하면 output의 Boolean expression을 더욱 간단히 나타낼 수 있다. gate-level modeling으로 구현하면 코드는 다음과 같다.
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 5주차 Encoder 설계
    dataflow modeling의 output wave OUTPUT1_4_TO_P_G, OUTPUT0_4_TO_P_G는 gate-level modeling의 output wave이다 ... , gate-level modeling 이 세가지 모델링 방법으로 구현하는 것을 목표로 한다. ... c)으로 표현하여 코드에 구현하였다. 3.3) Gate-level modeling Output의 Boolean expression은 Out1 = c + d, Out0 = d + bc
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 8주차 register 설계
    다시 그 1-bit register의 output을 다음 1-bit register의 input으로 입력하는 과정을 반복하여 output[7:0]을 출력한다. 3.3) Testbench ... 나타낸 그림이다. input [7:0]에서 한 bit씩 1-bit register에 입력시키면 output [7:0]에서 한 bit씩 output을 출력함을 확인할 수 있다. ... 여기서 볼 수 있듯이, 8-bit shift register는 가장 오른쪽의 1-bit register의 output인 out[0]가 왼쪽 1-bit register의 input으로
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 6주차 D Latch 설계
    가장 아래의 Structural modeling의 출력 파형을 보면, input {EN, D}가 00, 01인 경우에는 이전 output을 유지한다. ... modeling은 case문을 사용하였다. input으로 들어가는 (en, d)가 (0, 0), (0,1)이면 output으로 q, q_bar는 그대로 출력되고, (1, 0), ( ... 두 개의 input으로 D와 EN(Enable)을 받고, 두 개의 output Q, Q’이 있다.
    리포트 | 5페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 논리회로설계실험 7주차 Flip flop 설계
    그 아래에는 Behavioral modeling과 Structural modeling의 output Q, Q_BAR이다. wave를 보면, 처음에 input이 없으므로 Q는 {J, ... 그리고 그 output 각각을 SR flip flop에 input으로 clk과 함께 입력해주면 JK flip flop이 된다. 3.4) T flip flop (Structural modeling ... 맨 위의 그래프는 CLK의 그래프로 10ns 마다 1->0, 0->1로 변한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 현대자동차 차량 제어 최종합격 자소서
    사용자에게 경고 또는 샤시제어를 OFF 할 수 있는 신호를 주거나, 전차량 ModelOutput Signal을 센서 Data대신 제어기 Input으로 들어갈 수 있도록 구성했습니다 ... Step Input 또는 Impulse Input을 주어 구현했습니다. ... 일정 threshold를 기준으로 전차량 모델의 Output과 센서 값을 비교하며 고장신호를 검출하며 3. 검출된 고장신호를 저장한 뒤 4.
    자기소개서 | 3페이지 | 5,000원 | 등록일 2023.10.13
  • 워드파일 논리회로설계실험 3주차 Adder 설계
    다만 1-bit half adder와는 다르게 input값이 3개이고 output은 2개이다. ... 첫번째 full adder에 input으로 A0, B0, C0를 받고 output으로 나온 S0과 C1중에서 C1은 다음에 연결된 full adder의 input으로 들어가게 된다. ... INPUT OUTPUT A B C SUM C_OUT 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 0 1 0 1 0 1 0 1 1 1 0 0 1 1
    리포트 | 8페이지 | 3,000원 | 등록일 2023.09.11
  • 한글파일 시립대 전전설2 Velilog 결과리포트 3주차
    Assign {output1,output2} = input1 + input2 + input3; 위 코드는 output1의 자리가 올림 수 자리이고, output2의 자리가 그보다 아래 ... Assign {output1,output2} = input1 + input2 + input3; 위 코드는 output1의 자리가 올림 수 자리이고, output2의 자리가 그보다 아래 ... 코드를 작성해주면서 오류가 여러번 났었는데 우선 inputoutput을 설정해줄 때 input [3:0]a, [3:0]b, [3:0]c; 와 같이 작성하였을 때 syntax 오류가
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 한글파일 울산과학기술원(UNIST) 유니스트 인공지능대학원 인공지능학과 학업계획서
    Operating from Li-ion Battery Voltages with Low Spurious Output, A 64-MHz 2.15-μW/MHz On-Chip Relaxation ... and Performance Improvement of SSD RAIDs, An Enhanced DSM Model for Computation Offloading, A Hardware-Assisted ... for Compact 5G NR Handset Module, Design of a Current Sensing System with TIA Gain of 160 dBohm and Input-Referred
    자기소개서 | 1페이지 | 3,800원 | 등록일 2023.03.24
  • 워드파일 논리회로설계실험 9주차 counter설계
    여기서 출력되는 output OUT[0]은 다음 flip flop의 input D로 입력된다. ... 우선 4 bit의 output을 0으로 초기화 하고 시작한다. 이때 Q = 0, Q_BAR = 1인 상태가 되는데 Q_BAR가 flip flop의 input으로 들어가게 된다. ... 이때 Single counter와 Up-down counter와 같은 counter들의 modeling 방식을 참고하여 구현할 수 있다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 워드파일 순환신경망 RNN이란? (파이썬으로 집값 예측해보기)
    훈련 model.fit(input_seq, output_seq, epochs=100, batch_size=1) # 초기 입력 데이터 initial_input = input_seq[ ... = np.array(output_seq) # RNN 모델 정의 model = Sequential() model.add(SimpleRNN(32, activation='relu', input_shape ... output_seq = [] seq_length = 12 # 입력 시퀀스 길이 for i in range(num_samples - seq_leinput_seq) output_seq
    리포트 | 6페이지 | 3,800원 | 등록일 2023.11.04
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 결과 레포트 Combinational Logic 1, 전자전기컴퓨터설계실험2,
    -000 Output(SC) -00 Input -001 Output(SC) -10 Input -010 Output(SC) -10 Input -011 Output(SC) -01 Input ... 동작 결과 Input -000 Output(SC) -00 Input -001 Output(SC) -10 Input -010 Output(SC) -10 Input -011 Output ... (SC) -01 Input -100 Output(SC) -10 Input -101 Output(SC) -01 Input -110 Output(SC) -01 Input -111 Output
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 워드파일 논리회로설계실험 10주차 up down counter설계
    Input으로 reset, mode가 필요하며, output으로는 state와 output이 필요하다. ... 오른쪽의 diagram과 같이 current state와 input으로 output이 결정되는 Mealy machine-style diagram이 그려진다. ... Input에는 clk, rst, mode를 입력할 수 있고, output으로는 3-bit의 out, state를 설정하였으며, reg에도 동일하게 두었다.
    리포트 | 7페이지 | 3,000원 | 등록일 2023.09.11
  • 파워포인트파일 2022년 KLA Field Application Engineer (FAE) 직무 발표 ppt
    accurate active power output to severe frequency deviations Current Status and Problems Introduction ... Korea’s the most severe Frequency Profile Fig.3 Korea Power System Inertia Change Fig.4 ESS Simulink Model ... inertia and primary reserve to Power grid In case of severe disturbance in the environment where the input
    자기소개서 | 20페이지 | 9,900원 | 등록일 2022.11.11 | 수정일 2022.11.16
  • 한글파일 충북대 디지털시스템설계 결과보고서1
    Data-flow modeling 마찬가지로 input은 A, B, Ci이고 output은 S, Co이나 Structural modeling과 달리 boolean equation으로 ... 실험 내용 1-bit Full Adder의 진리표 Input Output ABC _{i}SC _{o} 0 0 0 0 0 0 0 1 1 0 0 1 0 1 0 0 1 1 0 1 1 0 ... input은 A, B, Ci이고 output은 S, Co, 신호 사이의 연결인 wire는 s1, c1, c2, c3이다. primitive logic gate로는 xor, and,
    리포트 | 3페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 워드파일 (완전 세세한 정리, 끝판왕) 시립대 전전설2, 3주차, Lab03, Introduction to Verilog HDL, 자세한 설명, 결과레포트
    (SC) -00 Input -001 Output(SC) -10 Input -010 Output(SC) -10 Input -011 Output(SC) -01 Input -100 Output ... (SC) -10 Input -101 Output(SC) -01 Input -110 Output(SC) -01 Input -111 Output(SC) -11 1bit full adder ... 각각 bit operators, Gate_Primitive, Behavioral modeling를 사용하여 Two-input AND 게이트를 설계하였다.
    리포트 | 15페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업