• 파일시티 이벤트
  • LF몰 이벤트
  • 캠퍼스북
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,898)
  • 리포트(2,638)
  • 자기소개서(97)
  • 시험자료(82)
  • 방송통신대(65)
  • 논문(11)
  • 서식(4)
  • 이력서(1)

"디지털시계만들기" 검색결과 1-20 / 2,898건

  • 한글파일 디지털 시계 만들기 제안서
    카운터, 7-Segment, 분주 회로, 오실레이터 등을 사용해 디지털 시계를 구성하며 Flow Chart를 토대로 디지털 시계의 설계를 진행한다. ? ... [프로젝트 개요] 디지털 시계란 카운터를 이용해 설계할 수 있는 대표적인 순차 회로 중 하나이다. ... 디지털 시계 설계 Flow Chart (1) 시/분/초 표시 기능 ? 크리스탈 오실레이터에서 크리스탈 칩을 통해 10Mhz를 발생시킨다. ?
    리포트 | 6페이지 | 2,000원 | 등록일 2021.06.01
  • 한글파일 [디지털 공학 실험] 7-seg로 디지털 시계 만들기 보고서
    1589239 빵판에다 만드는 디지털 시계(Digital Clock) https://www.youtube.com/watch? ... 74LS90 BCD Counter http://brbrbrbr1464.blog.me/90031878951 디지털 시계 만들기 https://www.youtube.com/watch? ... 실험 목적 7조 제출일자 팀원이름(학번) 설계 목표 ● 한 학기 동안 배운 디지털 공학 실험 이론을 통하여 디지털 시계를 설계한다. - SET 단자를 누를 시 시계의 동작 기능을 한다
    리포트 | 12페이지 | 2,000원 | 등록일 2020.01.01
  • 파일확장자 자바로 만든 디지털시계
    자바로 만든 디지털시계입니다.
    리포트 | 1,000원 | 등록일 2014.01.11 | 수정일 2014.10.13
  • 한글파일 디지털시계 만들기 회로도
    설계목적 1 / 18 (1) 그 동안 학습했던 내용을 토대로 디지털 시계를 설계 (2) 디지털 응용회로 설계에 대한 개념 정립 및 설계 절차 학습. 2. ... 이와 같은 디지털 시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. ... 이 론 주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다.
    리포트 | 18페이지 | 2,000원 | 등록일 2015.01.22
  • 파일확장자 디지털 시계 만들기
    디지털 시계 만들기필요 부품Seven segment 6개7447A BCD to seven segment 디코더/구동기 5개7483A 4bit binary full adders with
    리포트 | 3페이지 | 3,000원 | 등록일 2010.06.15
  • 파일확장자 <<AVR 알람시계 만들기>>AVR알람시계,디지털워치,와치,ATmega128,회로도,소스코드,동작원리,타이머,1초,시간설정,AVR디지털시계,전자시계,부저,디지탈시계,디지털시계 제작
    ['[AVR 알람시계 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. 목 표보고서에서는 AVR을 이용한 디지털 알람시계를 만드는 법에 대하여 알아보도록 한다. ... 본 디지털 시계에서는 아래와 같이 총 5 byte의 데이터를 저장하고 불러온다. ... (1) Timer/counter를 이용한 ‘1초’ 카운트디지털 시계는 1 Sec 단위로 카운팅되면서 시간이 표시된다.
    리포트 | 29페이지 | 7,000원 | 등록일 2015.12.04 | 수정일 2017.04.20
  • 파일확장자 자바로 만든 디지털시계
    public void windowOpened(WindowEvent e) { } public static void main(String[] args) { new DigitalClock("디지털시계
    리포트 | 1,000원 | 등록일 2009.10.30
  • 파일확장자 MFC로 만든 텍스트기반 디지털시계
    .// 시계가 동작중인 경우if(clock.GetExecState() == TRUE) {CString currTime = clock.GetCurrentTime().Format("현재시간
    리포트 | 2,000원 | 등록일 2012.03.31
  • 파워포인트파일 디지털시계를 만든후
    TTL IC로 구현한 디지털시계 완성된 디지털 시계 본 회로에서는… 타이머는 업 카운터 전용으로 한다. NE555를 사용하지않고 10MHz의 주파수 발진을 사용하였다. ... 만드는 과정에서 완성까지~ 6. 작품을 끝낸 후 어려웠던 점. ... 대부분의 부품들은 일정한 규격과 룰을 가지고 만들어지므로 기본 사용법을 알고 있다면 익숙하지 않은 부품이라할지라도 활용하는데 어려움은 없을것이다.
    리포트 | 12페이지 | 1,500원 | 등록일 2009.06.13
  • 한글파일 디지털시계 만들기
    디지털 vs 아날로그 - 디지털은 손가락이란 뜻의 라틴어 디지트 (digit)에서 온 말이다. ... 디지털 휴대폰은 아날로그 신호인 음성을 수십만 개의 디지털 신호로 바꾸어 전달하는 것으로 CDMA라는 방식이 사용된4세기 초부터 만들어졌는데, 지금까지 설명한 고대(古代)의 시계와는 ... 제작후기 디 지 털 시 계 제 작 디지털 시스템 (주간) 김혜종, 박미란 Ⅰ. 디지털시계의 개요 ⅰ. 개요 A.
    리포트 | 50페이지 | 4,000원 | 등록일 2006.12.18
  • 한글파일 [디지털실험][설계과목]디지털 시계 만들기
    설계목적 / 18 (1) 그 동안 학습했던 내용을 토대로 디지털 시계를 설계 (2) 디지털 응용회로 설계에 대한 개념 정립 및 설계 절차 학습. 2. ... 이와 같은 디지털 시계를 설계하기 위해서 기본적으로 필요한 것이 무엇인지 살펴보자. ... 이 론 주변에서 흔히 볼 수 있는 디지털 시계는 카운터를 이용해 설계할 수 있는 대표적인 순차회로의 하나이다.
    리포트 | 18페이지 | 1,000원 | 등록일 2010.03.25 | 수정일 2014.11.18
  • 한글파일 DE2 보드 이용 디지털 시계 만들기
    디지털 회로 실험 최종보고서 (디지털 시계) 전자전파공학 2006200378 한 효 준 module divider( input iCLK_50M, input iRST, output ... 1110011; default : led_out ... 핀 설정 및 코딩에서의 에러 때문에 시간이 오래 걸렸지만 결국 에러를 수정하고 핀 설정을 수정한 후에 시계가 동작하는 것을 확인하였다.
    리포트 | 8페이지 | 3,000원 | 등록일 2011.06.24 | 수정일 2015.07.19
  • 파일확장자 FPGA DE2보드를 이용해서 디지털시계만들기 (시계, 알람, 스톱워치기능 포함 )
    디지털시계 - 디지털시계의 기능은 크게 디지털클럭, 타이머 및 알람 기능으로 구성되며 이것을 VDHL기반으로 Quartus Ⅱ툴을 사용하여 설계하고 Altera DE-2 ... FPGA설계로 디지털시계를 구현하고, 그에 알맞은 스톱워치기능, 알림기능, 시간설정기능을 16 x 2 character LCD표시소자로 나타낸다.
    리포트 | 3,000원 | 등록일 2014.12.30
  • 워드파일 Spartan 3E Board를 사용하여 디지털 시계 만들기
    따라서 시간을 언제든지 정확히 볼 수 있고 실생활에서 시간에 관련되어 필요한 기능이 내장되어 있는 디지털 시계가 필요하다. ... 설계 목적 사람들에게 정확한 시간과 실생활에서 시간과 관련된 필요한 기능을 제공하는 디지털 시계를 제작하는데 목적이 있다. ... Spartan 3E에서 발생되는 50MHz의 Clock을 디지털 시계에서 사용되는 1Hz의 Clock과 Debouncing 회로, LCD출력 회로에서 사용되는 1kHz의 Clock을
    리포트 | 23페이지 | 5,000원 | 등록일 2011.10.30
  • 파워포인트파일 VHDL 을 이용한 디지털 시계 만드는 최종 보고서
    스톱워치를 만들 예정 입니다 . 분 , 초 , 그리고 알람 기능도 넣었습니다 . ... VHDL 최종 보고서 목 차 설계의 목표 자료조사 사용부품 사용장비 구현방법 기대효과 일정계획 ( 블록도 ) 프로그램 소스 설계의 목표 무엇을 만들 것인가 ?
    리포트 | 20페이지 | 2,000원 | 등록일 2010.12.23
  • 파워포인트파일 8051을 이용한 다기능 디지털 시계 만들기
    ..PAGE:1 마이크로 프로세서 응용실험 2008. 12. 17 다기능 디지털 시계 Digital Clock * ..PAGE:2 1. 조 원 2. 목 표 3. 일 정 4. ... ㆍ 모드 1 (디지털 시계기능, 알람 기능) 구현. - 월/ 일/ 요일/ 시/ 분/ 초 세팅 및 시계 작동/정지 가능. - 알람 세팅 및 ON/OFF기능. ... 결 과 초기화면 ① 모드1 (디지털 시계 기능, 알람 기능) - 월/ 일/ 요일/ 시/ 분 /초 구현(각요소 Key2개로 세팅가능) - 시계 구동/정지가능 (KEY3 : TR0 =~
    리포트 | 24페이지 | 10,000원 | 등록일 2009.11.30
  • 파일확장자 디지털 기초설계 시계만들기 <제안서, 중간보고서 결과보고서, 회로도, 재료전부다있습니다)
    설계 목표아래의 조건들을 만족하는 디지털시계 제작을 통하여, 디지털 회로의 동작 이론 숙지와 하드웨어 시스템 설계 시 고려 사항의 적용, 전체 회로 시뮬레이션, 부품 배치와 wiring ... 분’의 1의 자릿수를 구성하는 10진 카운터를 만듭니다.Ⅲ) 12진 카운터74LS90 IC칩을 2개 이용하여 ‘시’를 구성하는 12진 카운터를 만듭니다. ... 등 전반적인 하드웨어 설계/제작 경험을 고취한다.* 수행 조건ⅰ) 시/분/초를 나타내는 기본적인 시계의 기능을 수행한다.ⅱ) 매초마다 깜빡이는 다이오드를 통해 시계의 동작을 확인한다
    리포트 | 2페이지 | 5,000원 | 등록일 2016.08.18
  • 파일확장자 <<AVR을 이용한 컴퓨터 사용시간 타이머 만들기>>AVR타이머,전자시계,카운터, AVR졸업작품,ATmega128,회로도,AVR작품,아트메가128,작품 만들기,디지털 시계,시간측정
    ['[AVR을 이용한 컴퓨터 사용시간 타이머 만들기] + 회로도, 소스코드, 동작원리' 본문 내용 中 발췌]Ⅰ. ... 본 타이머는 컴퓨터의 사용시간을 시:분:초로 표시하기 위해서 2-digit Segment 3개를 사용하고 있다.
    리포트 | 18페이지 | 4,000원 | 등록일 2016.03.02 | 수정일 2017.04.20
  • 파일확장자 VHDL로 만든 디지털시계100%작동
    연구개발의 최종목표 - AM/PM 변환 버튼을 만들어 변하게 하고 LED의 깜박임을 통하여 나타낼 것이다 기본 적으로 시, 분, 초, 100분의 1초를 만들어서 4개의 7세그먼트 ... 현재 시간 설정은, 버튼 3개를 이용하여 시, 분, 초를 각각 제어 하도록 하고 리셋 버튼은 따로 만드는 것이 목표이다. 나.
    리포트 | 12페이지 | 1,000원 | 등록일 2007.12.27
  • 파일확장자 디지털 논리회로 시계 타이머 스탑 와치 알람 만들기 maxplus이용 (코드, 보고서)
    module digitalclock(swbutton1, swbutton2,set,reset,clk,segment1,segment2,segment3,segment4,segment5,segment6,led1,led2,led3,led16);input swbutton1;inp..
    리포트 | 21페이지 | 3,000원 | 등록일 2014.01.12
  • 레이어 팝업
  • 레이어 팝업
  • 레이어 팝업