• AI글쓰기 2.1 업데이트
  • 통합검색(15,856)
  • 리포트(14,243)
  • 자기소개서(1,003)
  • 시험자료(304)
  • 방송통신대(193)
  • 논문(89)
  • 서식(10)
  • 이력서(7)
  • ppt테마(6)
  • 표지/속지(1)
판매자 표지는 다운로드시 포함되지 않습니다.

"DIGITAL회로" 검색결과 901-920 / 15,856건

  • 냉방,난방시스템 디지털회로설계
    1. 서론(연구배경)? 디지털 논리회로 실험에서 지금까지 배운 내용을 이해? 디지털 논리회로 실험에서 배운 이론을 활용하여 일상생활에 쓰이는 system 활용? 팀 조원들이 협력 ... 으로 창의적인 디지털회로시스템 설계 및 하드웨어 제작2. 연구내용2.1 개념도2.2 설계 주요내용① NE555타이머를 이용해서 클럭을 발생시켜서 업/다운 카운터를 실행시킨다.② 7 ... 카운터나 Clock, 플립플롭 회로, 시분할, 실시간 처리기등 다 사용되어 집니다.74ls192- 10진 업다운 카운터로 비동기 프리셋과 리셋을 가진 mod-10 up/down 동기
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 3,000원 | 등록일 2014.12.21
  • 디지털회로실험 텀프로젝트 3비트 가산기를 이용한 7세그먼트 디스플레이
    의 입력을 가지며 합(sum)과 자리올림수(carry)의 두 출력을 갖는다. 컴퓨터에서의 덧셈연산은 십진수에서의 덧셈과 유사한 규칙으로 수행되는데 디지털 시스 템에서는 각 비트수 ... 가 한정되므로 자리올림수(carry)를 고려하여야 한다.반가산기는 1자리의 2진수를 더하는 회로0 + 0 = 00 + 1 = 11 + 0 = 11 + 1 = 1 0출력 두 자리 중 ... 상위 자리를 캐리(carry), 하위 자리를 합(sum)이라 한다.다음은 반가산기의 진리표와 회로도이다.[그림 1] 반 가산기의 진리표와 회로도[그림 2] XOR에 의한 반가산기1
    Non-Ai HUMAN
    | 리포트 | 9페이지 | 10,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 디지털 논리회로 보고서 ( sequence detector)
    디지털 논리 회로 verilog 과제2학과학년학번이름이번 과제는 verilog 프로그램을 통해서 sequence를 감지하는 sequence detector을 설계해서 coding
    Non-Ai HUMAN
    | 리포트 | 3페이지 | 1,000원 | 등록일 2017.01.06
  • 디지털 회로 레포트
    ◆ VHDL code 로 나타내면 다음과 같이 표현 할 수 있다.library ieee;use ieee.std_logic_1164.all;entity segment isport (i:in std_logic_vector(3 downto 0);O:out std_logic_..
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2010.11.30
  • 디지털회로설계(DE2보드 설계)
    디지털 회로 실험Kyung Hee UniversityTerm Project2과목:담당교수:학과:학번:이름:제출일자:1. 설계 주제- DEII 보드를 이용한 생년 월일 출력- 기본
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 1,500원 | 등록일 2015.12.12
  • 디지털회로설계 (쇼핑카트 계산기)
    한도 초과보다 누적 금액이 높을 시 Warning 신호 출력2) 설계회로 및 시뮬레이션 결과(1) 전체 회로(전체 회로를 제외한 세부 회로는 맨 뒷장 별도첨부)(2) 시뮬레이션 ... 과 10진 Counter를 따로 두어 소비자가 입력할 수 있게 하였고, 각각의 Counter에서 출력된 3개의 4bit를 조합회로를 통해 10bit로 바꾸어 최대 한도를 정하기 ... 하는 것으로 바꾸게 되었다.- 위의 입력부를 제외한 다른 회로는 전체적으로 처음 계획서를 작성한 것과 거의 비슷하게 설계하였다.9. 결론 및 제작후기- 설계 과정 중 어려웠던 부분
    Non-Ai HUMAN
    | 리포트 | 18페이지 | 2,000원 | 등록일 2015.12.12
  • 디지털논리회로
    한 Specification에 맞게 설계하고 팀 프로젝트를 통한 Team Work의 향상을 목표로 한다. 또한 프로젝트를 통하여 2010년도 2학기 디지털논리회로 과목에 대한 전반적인 ... 는 내용들을 복습 할 수 있으며, 새로운 Module을 구현할 수 있고, Module의 동작과 설계 감각을 한 번 더 익힐 수 있다. DMAC 프로젝트는 조합논리회로(MUX ... Decoder) 순차논리회로(FSM Counter) 등을 복습하여 현재 지니고 있는 지식을 확인하는 좋은 기회가 될 수 있으며, 과제를 진행하면서 모르고 있던 부분을 알 수 있다. 이러
    Non-Ai HUMAN
    | 리포트 | 21페이지 | 1,500원 | 등록일 2010.12.21
  • [컴퓨터과학과] 2014년 1학기 디지털논리회로 교재전범위 핵심요약노트
    제1장 컴퓨터와 디지털 논리회로1. 디지털시스템 - 시스템의 정의: 입력과 출력을 갖는 검은 상자로서, 어떠한 목적을 달성하기 위하여 상호작용하는 구성요소들의 집합2. 디지털 ... 시스템의 장점① 디지털시스템은 구성요소의 처리과정이 매우 정확하고 동작상태를 예상할 수 있으며, 구성요소의 설계가 용이하다는 장점을 가지고 있음② 직접회로를 사용하여 설계되는 디지털 ... 시스템의 장점은 편리성, 융통성, 단순성, 안정성, 정확성 등이 있음3. 디지털시스템의 설계① 회로설계 : 논리소자를 만들기 위해 능동소자와 소동소자를 연결시키는 단계이
    Non-Ai HUMAN
    | 방송통신대 | 72페이지 | 9,000원 | 등록일 2014.02.13
  • 아날로그 및 디지털회로설계실습 실습7(논리함수와 게이트) 결과보고서
    한 결과 진리표와 결과가 일치하였고 평균0.98%의 오차를 보였다. 디지털 회로 실험이라 그런지 오차가 평균 0.133%밖에 차이 나지 않아서 실험이 잘 되었다. 오차의 원인은 연결 ... 회로도를 설계하였고, 실험 결과도 오차가 평균 0.133% 밖에 나오지 않아서 매우 잘 된 실험이라고 생각한다. 이번학기 실험에서 아날로그 실험만 하다가 처음으로 디지털회로 실험 ... 을 하였는데 디지털회로라서 그런지 오차가 거의 없어서 좋았다.7-5-4 무엇을 느꼈는가? 또 이 설계실습을 통하여 무엇을 배웠는가?이번 실험을 통해 논리 게이트 소자를 이용하여 다른
    Non-Ai HUMAN
    | 리포트 | 8페이지 | 1,000원 | 등록일 2020.09.24
  • 아날로그 및 디지털회로설계실습 실습7(논리함수와 게이트)예비보고서
    예비보고서(설계실습 7. 논리함수와 게이트)아날로그 및 디지털 회로 설계실습설계실습 7. 논리함수와 게이트7-1. 실습목적 : 여러 종류의 게이트의 기능을 측정하여 실험 ... , NOR, XOR 게이트의 기능을 갖는 회로도를 그리고, XNOR (Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 게이트로 XNOR의 회로도를 설계한다.A, B ... 에서 설계한 XOR 에 인버터를 추가한 다음과 같은 회로이거나,아니면 Transistor 의 개수를 적게 사용하는 다음과 같은 형태이다.다음과 같이 진리표와 같이 파형이 나오는 것
    Non-Ai HUMAN
    | 리포트 | 12페이지 | 1,000원 | 등록일 2020.09.24
  • 아날로그 및 디지털회로설계실습 실습8(래치와 플립플롭)결과보고서
    결과보고서(설계실습 8. 래치와 플립플롭)아날로그 및 디지털 회로 설계실습설계실습 8. 래치와 플립플롭요약 : 순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대해 ... ) 설계회로도를 참고하여 아래와 같이 RS-Latch를 설계하였다.RSQQB회로를 구성한 다음, 다음 표와 같이 순서에 따라 입출력을 확인하였다.RS사진QQB ... 할 수 있었다.전반적인 실험의 결과는 매우 만족스러웠다. 설계한 RS-Latch 회로가 잘 동작하였으며, 래치와 플립플롭의 기능에 대해서 알아볼 수 있었다.
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2020.09.24
  • 아날로그 및 디지털회로설계실습 실습4(신호발생기) 예비보고서
    예비보고서(설계실습 4. 신호발생기)아날로그 및 디지털 회로 설계실습설계실습 4. 신호발생기4-1. 목적 : Wien bridge RC 발진기를 이용하여 신호 발생기를 설계 ... -1 신호발생기 설계(A) 그림 4-1에 주어진 Wien bridge 회로에서 V+와 V-의 관계식을 구하시오. 이 관계식을 이용하여 1.63kHz에서 발진하는 Wien bridge ... 회로를 설계 하시오.Y_{ C}= { 1} over {jwC } 로 둔다면, 전압 분배 공식에 의해서 다음과 같다.V _{+} = {{RY _{C}} over {Y _{C} +R
    Non-Ai HUMAN
    | 리포트 | 6페이지 | 1,000원 | 등록일 2020.09.24
  • 아날로그 및 디지털회로설계실습 실습4(신호발생기) 결과보고서
    의 offset voltage의 영향, 회로의 문제 때문이라고 생각한다.4.참고문헌1. 중앙대학교 전자전기공학부, “아날로그 및 디지털 회로 설계 실습”, 이론 7 ... 하지 않은 회로에서는 gain이 2.229, 발진주파수가 1.393kHz가 나왔다. 다이오드를 추가한 Wien bridge 신호발생기 에서는 gain이 2.127, 발진주파수가 1 ... .445kHz가 나왔다. 다이오드를 추가한 회로가 왜곡을 없애야 하는데 오히려 왜곡이 심해지는 결과가 나와서 아쉬웠다. 오차의 원인은 정확한 저항값을 구성하지 못하고, Op amp
    Non-Ai HUMAN
    | 리포트 | 5페이지 | 1,000원 | 등록일 2020.09.24
  • VHDL과 FPGA 실습 키트를 이용한 묵찌빠 게임 [디지털논리회로 실험 Term Project]
    1. 서론 2016년 3월 알파고와 이세돌의 바둑 경기가 끝난 후 전 세계적으로 인공지능 붐이 일어나고 있다. 최근에는 4차 산업 혁명에서 핵심인 인공지능을 이용하여 스타크래프트 경기를 준비하고 있다고 들었 다. 세계적인 추세에 힘입어 우리는 묵지빠 게..
    Non-Ai HUMAN
    | 리포트 | 28페이지 | 4,000원 | 등록일 2020.04.24 | 수정일 2020.04.27
  • 아날로그 및 디지털회로설계실습13(패턴인식기 설계) 예비보고서
    설계실습13 결과보고서(패턴인식기 설계)2019.11.27 = 20 + 19 + 11 + 27 = 77 이지만 57로 설정. 57 = 111001(2)이다.State/output table111001(2)XMeaningS01ZipAA,0B,01BA,0C,011CA,0D..
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 1,000원 | 등록일 2020.09.24
  • 아날로그 및 디지털 회로 설계 실습 9. 래치와 플립플롭
    예비보고서실습 9.래치와 플립플롭9-1. 실습목적순차식 논리회로의 기본 소자인 래치와 플립플롭의 여러 종류에 대한 기능의 차이를 알아보고 동작 조건을 확인한다.9-2. 실습 준비
    Non-Ai HUMAN
    | 리포트 | 2페이지 | 1,000원 | 등록일 2018.03.21
  • 아날로그 및 디지털 회로 설계 실습 8. 논리함수와 게이트
    서8-3-1 XNOR 게이트 설계 및 특성 분석(A) AND, OR, NOT 게이트를 사용하여 NAND, NOR, XOR 게이트의 기능을 갖는 회로도를 그리고 XNOR ... (Exclusive NOR)의 진리표를 사용하여 AND, OR, NOT 게이트로 XNOR의 회로도를 설계하라.NAND (AND+NOT)NOR (OR+NOT)XOR :Y= bar A B+A ... 이다.8-3-3 4X2 디코더의 설계 및 특성 분석(A) 각 게이트를 사용하여 만든 4X2 Thermometer to Binary 디코더의 기능에 대해 설명하고, 그 정의에 따라 진리표를 만들고, 4X2 회로도를 설계한다.
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2018.03.21
  • 아날로그 및 디지털 회로 설계 실습 5. 신호발생기
    서플라이(Power supply) 1대점퍼선 다수5-3. 설계 실습 계획서5-3-1 신호발생기 설계(A) 그림 5-1에 주어진 Wien bridge 회로에서 V+ 와 V-의 관계식 ... 을 구하시오. 이 관계식을이용하여 1.63kHz에서 발진하는 Wien bridge 회로를 설계하시오.→ 이론부에 의하면 Voltage Division에 의하여,beta(w)= { V_ ... 하여 Wien bridge oscillator를 안정화 하는 회로를 설계, Simulator의 결과를 제출한다. 또한 출력을 안정화 하는데 다이오드가 어떤 역할을 하는지 구체적으로 서술한다.
    Non-Ai HUMAN
    | 리포트 | 4페이지 | 1,000원 | 등록일 2018.03.21
  • 판매자 표지 자료 표지
    디지털공학실험 06. 조합논리회로응용 결과
    < 조합논리회로 응용 결과보고서 >실험사진[ 7-segment LED 회로 6, 8, 3 점등 사진 ]결과보고 및 검토 / 고찰 및 토의이번 실험에서는 회로에서의 스위치 사용 ... 는 디코더의 사용까지 학습하였다.그리고 그것을 통해서 조교님께서 잘 알려주신 덕분에 정확하게 회로로 구현할 수 있었고, 애노드형 7-segment LED소자, 디코더를 통해 4
    Non-Ai HUMAN
    | 리포트 | 1페이지 | 1,000원 | 등록일 2017.06.29
  • 디지털회로 실험 프로젝트 보고서, 포토인터럽트 활용, 자동 회전 액자(스크린), 회로 및 사진 포함
    과 출력전압을 갖는 회로- 하나의 입력이 다른 또 하나의 입력 전압과 비교하여 크거나 작을 때를 나타내는 디지털 출력을 제공.- (+)입력단자가 (-)입력단자보다 크면 +V _{s}단자 ... Term project목차1. 제작 목적2. 활용 방안3. 사용 부품4. 데이터시트5. 사용 이론6. 회로7. 결과1. 제작 목적실험 실습을 통해 배운 여러 이론들인 게이트 ... 것처럼 나타나게 됩니다. 따라서 반드시 채터링을 처리해야 한다.- 채터링을 처리하는 방법으로는 캐페시터를 연결하는 방법이 있다. 회로에 측로 커패시터(Bypass
    Non-Ai HUMAN
    | 리포트 | 24페이지 | 2,000원 | 등록일 2019.02.08 | 수정일 2019.05.19
  • 전문가요청 배너
  • EasyAI 무료체험
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 10월 30일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:33 오전
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감