• 통합검색(403)
  • 리포트(392)
  • 논문(5)
  • 시험자료(5)
  • 자기소개서(1)

연관검색어

판매자 표지는 다운로드시 포함되지 않습니다.

"7-segment / Decoder" 검색결과 61-80 / 403건

  • 판매자 표지 자료 표지
    [아날로그 및 디지털 회로 설계실습] 예비보고서10
    아날로그 및 디지털 회로설계실습(실습10 예비보고서)소속전자전기공학부담당교수수업 시간학번성명예비 보고서설계실습 10. 7-segment / Decoder 회로 설계실습날짜2021 ... .11.22. 17시교과목 번호제출기한2021.11.21. 24시작성자제출날짜(이클래스)2021.11.20.1. 목적7-segmentDecoder를 이해하고 관련 회로를 설계 ... 한다.2. 실습 준비물부품저항 330Ω, 1/2W, 5%8 개Decoder 74LS471 개Inverter 74HC048 개7-segment1 개Switch4 개사용장비오실로스코프
    리포트 | 5페이지 | 1,500원 | 등록일 2022.09.14
  • 판매자 표지 자료 표지
    기초실험1 7 segment counter 결과보고서(틴커캐드)
    결과보고서학 과학 년학 번조성 명전자공학과실험 제목7Segment_Counter실험 결과1. 7 segment: 7 segment decoder와 7 segment LED를 연결 ... 은 clock가 1->0으로 떨어지는 그 시점에만 해당한다.(2) 7 segment-decade counter: 7 segment와 decade counter를 연결해 1초마다 숫자 ... bit decade counter를 통해 받아들인 신호를 7segment의 각각의 입력에 대응시키면 decoder를 통해 신호를 LED의 각각의 부분에 신호를 전달해 시뮬레이션
    리포트 | 8페이지 | 1,000원 | 등록일 2023.03.12 | 수정일 2023.11.29
  • 판매자 표지 자료 표지
    아날로그 및 디지털 회로 설계 실습 결과보고서12 Stopwatch설계
    )에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 연결한다. 이 때, Decoder7-segment ... 사이에 저항(330Ω)을 달아 과전류를 방지한다. 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type간의 매칭에 유의한다.위와 같이 회로 ... 를 구성하였으며, 사진의 하단에서 왼쪽 소자가 BCD카운터, 오른쪽의소자가 decoder 소자이다. 사진의 상단에서 확인할 수 있듯이 decoder7-segment 사이에도 빠트리
    리포트 | 13페이지 | 2,000원 | 등록일 2023.09.05 | 수정일 2023.10.24
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 예비 리포트
    설계실습 10. 조합논리 회로의 예(7-segmemt/Decoder 회로 설계)요약: 이번 보고서에서는 조합논리회로를 학습했다. 7-segment/Decoder 진리표를 완성 ... 했으며 진리표를 통해 Karnaugh과 간소화 된 형태의 불리언 식을 구했다. 그 후에 Decoder7-segment를 이용한 7-segment 구동 회로를 설계했다.서론: 7-s ... egment LED는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성되어 숫자를 표시한다. 이번 보고서에서는 7-segment/Decoder의 진리표를 학습하고 불리언 식
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.02
  • 판매자 표지 자료 표지
    실험8 Decoder와 Encoder 결과보고서 A+ 레포트
    마지막 실험은 TTL IC 7447(BCD-to-7 segment decoder)을 사용하여 위와 같은 회로를 꾸미고 그 때의 진리표 를 작성 및 오실로스코프로 각 출력 ... 7447(BCD-to-7 segment decoder)의 input 즉, 7번에 A, 1번에 B, 2번에 C, 6번에 D를 각각 연결하고 그 때의 출력을 살펴보았더니 위의 진리표 ... 와 같이 나타나는 것을 확인할 수 있었고 7 segment의 출력을 확인해 본 결과 0에서부터 9까지 차례로 바뀌고 10이상의 숫자에서는 숫자가 이상하게 깨지며 나왔다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.11.15
  • [A+]중앙대 아날로그및디지털회로설계 실습 예비보고서12 stopwatch 설계
    -segment를 활용한 실습을 진행시 먼저 type을 확인해야 한다.- BCD to 7-Segment decoder디지털 회로의 출력은 대게 2 ... 진수로 표현되며 이를 10진수 방식인 7-segment로 바꾸어 주 기 위해서는 decoder가 필요하다. 10개의 숫자를 표한하기 위해서는 적어도 4개의 비트를 필요로 하며 7-segment로 출력하기 위해서는 출력 핀이 7개가 필요하다. ... - 7-Segment숫자를 표시하는 7개의 LED와 소수점을 나타내는 1개의 LED로 구성되어 있다. 7-segment는 common
    리포트 | 11페이지 | 1,000원 | 등록일 2022.09.08 | 수정일 2022.09.16
  • [A+] 중앙대학교 아날로그 및 디지털 회로 설계실습 예비보고서 12. Stopwatch 설계
    ], Amplitude는 0 ~ 5 [V]의 square wave의 clock 신호를 만든다. 이후 Decoder7-segment 사이에 330 [Ω]의 저항을 달아 과전류를 방지 ... 하고, decoder의 출력 방식과 7-segment LED의 type 간의 매칭을 유의하며 점퍼선을 연결한다. 74HC192라는 BCD 카운터를 사용하였고, Count up mode로 동작 ... (A) 12-4-1 기본적인 클럭 생성 회로 및 카운터 회로 테스트Function generator를 이용하여 실습서와 동일하게 Frequency는 1 [Hz
    리포트 | 5페이지 | 1,000원 | 등록일 2023.02.06 | 수정일 2023.02.09
  • 실습 12. stopwatch 설계_예비보고서_중앙대_아날로그및디지털설계실습
    decoder(MC14511B)를 통해 7-segment 에 연결한다. 이때 Decoder7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다. 또한 이론에 언급 ... 한 대로 decoder 의 출력 방식과 7-segment LED 의 type 간의 매칭에 유의한다. ... , Amplitude : 0~5V) (B) (A)에서 생성된 Clock 신호를 BCD 카운터(10 진 카운터)에 연결 BCD 카운터 출력 4bit 을 BCD to 7-segment
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.13
  • 서강대학교 디지털논리회로실험 3주차 결과보고서
    1. 실험목적1) 일반적인 binary decoder의 동작 원리를 이해한다.2) 7-segment decoder의 동작원리를 이해한다.3) Encoder의 동작원리를 이해 ... 가 존재할 경우, 이 신호들에 따라 정해진 기능을 동작한다. 7-segment decoder(74X49)는 이번 실험에서 사용하는 소자인데, 4개의 display가 존재하며 하나의 원 ... 하는 패턴을 표시하기 위해서는 해당 7-segment display에 연결된 FND_COMMn 신호를 high상태로 유지하고 나머지 3개의 신호를 low상태로 유지해야 한다
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.02
  • 아날로그 및 디지털회로설계실습 10 조합논리 회로의 예 (7-segmentDecoder 회로 설계) 과제
    실습 10. 조합논리 회로의 예 (7-segment/Decoder 회로 설계) 과제7-segment LED의 특성을 확인하였을 때 Common Cathode type이라면, 7 ... 어떠한 입력에 대해서도 모든 7개의 segment가 꺼져있다.-LT 핀이 Low이고 BI/RBO 핀이 High 일 경우LT 핀이 Low이고 BI/RBO 핀이 High 일 경우 다른 입력 핀과 관계없이 모든 7개의 segment가 점등된다. ... 47 Decoder의 출력과 7-Segment LED의 입력 사이에 저항을 연결하는 이유는 무엇인가?각 세그먼트의 허용 전류를 넘지 않도록 하기 위해 저항을 연결해 준다.7
    리포트 | 1페이지 | 1,000원 | 등록일 2021.09.02
  • 실습 12. Stopwatch 설계 예비보고서
    egment decoder(MC14511B)를 통해 7-segment에 연결하고 7-segment사이에 저항(330Ω)을 달아 과전류를 방지 - ... : 3개NOR gate 74HC02 : 3개AND gate 74HC08 : 3개OR gate 74HC32 : 3개7-Segment : 3개BCD Decoder 74LS47 : 3개 ... 한 회로 결선도를 그리시 오. 단, 회로도를 그릴 때, VCC, GND 연결 등의 기본적인 연결은 표시할 필요 없이 주요 부품과 주요 결선 부분만 표시하시오.BCD to 7-s
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.19
  • 디지털 논리회로 실험 3주차 Encoder와 Decoder 결과보고서
    .2 실험(3) 주어진 기판의 7-segment에 숫자 7을 표시하시오.※ 7-segment decoder 7447를 사용하지 말고 기판의 A, B, C, D에 직접 결선 ... egment decoder 7447을 이용하여 7-segment 5161에 숫자 7를 표시하시오.그림 a. 4.2.2 logic works 결과※ 7-segment 5161을 오래 ... 사용 시 과열될 수 있으니 주의하시오.이번 실험은 BCD to 7-segment decoder 7447을 이용하여 7-segment 5161에 원하는 숫자를 출력하는 실험이
    리포트 | 12페이지 | 2,000원 | 등록일 2021.04.22
  • 12. Stopwatch 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    )에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-s ... egment에 연결한다. 이때 Decoder7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment ... LED의 type 간의 매칭에 유의한다.(C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 0, 1, 2, ..., 8, 9, 0, 1, 2, ...의 순서대로 표시
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.24
  • 판매자 표지 자료 표지
    서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    디지털논리회로실험 3주차 실험 보고서목적- 일반적인 binary decoder와 encoder의 동작 원리를 이해한다.- 7-segment decoder의 동작원리를 이해한다. ... 일 때 code 변환을 실행하게 된다. 보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment ... athode 7-segment displaycommon cathode의 경우 3번과 8번 단자가 gnd로 공통으로 연결되어 있고, 원하는 LED에 할당된 핀에 high를 입력시킬 경우
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 12. Stopwatch 설계 예비보고서 - [아날로그및디지털회로설계실습 A+ 인증]
    디지털 회로의 출력은 대개 2진수로 표현되어 있으므로 이를 10진수 방식인 7-segment LED 로 바꿔 주기 위해 Decoder 를 사용해야 한다.일반적으로 7-segment ... 한 후 통합하여 전체 stopwatch 를 설계하는 것이 오류를 방지하기에 좋다.실험에서 사용할 7-segment LED 는 숫자를 표시하는 7개의 LED 와 소수점을 나타내는 1 ... 개의 LED 로 구성되어 있고, 필요한 LED 만 선택적으로 점등하여 원하는 숫자를 나타낸다.7-segment LED 는 위 그림과 같이 두 가지 타입으로 나눌수 있으며 두가지
    리포트 | 11페이지 | 1,000원 | 등록일 2022.11.16 | 수정일 2023.01.03
  • 판매자 표지 자료 표지
    [디지털 논리] AND, Not Gate를 이용한 4×10 Decoder을 이용하여 7-Segment 표시 Code 구현 과제 (소스 및 실행화면 포함)
    1. 문제개요AND, Not Gate를 이용한 4×10 Decoder을 이용하여, 7-Segment 표시 Code 구현VHDL로 작성한 소스1) AND, Not Gate를 이용 ... 한 4×10 Decoderud_and4.vhdlibrary ieee;use ieee.std_logic_1164.all;--libraryentity ud_and4 isport( in1 ... , in2, in3, in4 : in std_logic;out1 : out std_logic);end ud_and4;--declare and2architecture sample
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.07
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit BCD ... code 로 변환하는 컨버터를 라인 디코더를 이용해 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차 ... -Segment 동작원리일반적인 방법이라면 7-segment의 출력인 'a','b','c','d','e','f','g','.' 가 각각 나와서 8개의 7-segment를 사용할 경우 64개
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • Vivado를 이용한 BCD to 7segment decoder의 구현 예비레포트
    Vivado를 이용한 BCD to 7segment decoder의 구현예비레포트1. 실험 제목1) Vivado를 이용한 BCD to 7segment decoder의 구현2. 실험 ... 주제- 7segment와 ALU의 Symbol 및 동작원리를 이해한다.- 7segment를 동작 시키기 위한 BCD-to7segment의 동작원리를 이해하고 Verilog를 통하 ... 여 구현하는 방법을 익힌다.- Verilog로 구현한 Adder를 FPGA보드의 7segment를 통하여 구현하는 방법을 익힌다.3 관련 이론1. ALU (Arithmetic
    리포트 | 4페이지 | 1,000원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • 12. Stopwatch 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    회로 및 카운터 회로 테스트생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B ... )를 통해 7-segment에 연결한다. 이때 Decoder7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다.BCD 카운터로 74HC192를 사용하였기 때문에 c ... 개7-Segment3개BCD Decoder 74LS473개BCD 카운터 74HC192P3개counter 74HC906개가변저항 1MΩ1개가변저항 10KΩ2개저항 330Ω, 1/2W
    리포트 | 6페이지 | 1,000원 | 등록일 2022.09.06
  • 디지털 논리실험 3주차 예비보고서
    7-segment decoder 7447과 7-segment 5161의 datasheet를 확인하시오. 7447과 5161의 datasheet를 통해 vcc 또는 gnd의 위치를 확인할 수 있다. ... 아 2개의 출력 값을 가지므로 기본 실험 (2)의 회 로는 2-bit 부호기이다. 인코더는 반대로 정보를 암호화하는 역할을 한다. 1.3 기본 실험 (3)에서 7을 표시하기 위한 입력 ... 1.1 기본 실험 (1)의 회로가 2-bit 복호기인 이유를 설명하시오. 2비트의 정보를 입력 값으로 받아 4개의 출력 값을 가지므로 기본 실험 (1)의 회로는 2-bit 복호
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.11
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 07월 05일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 캐시를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감