• AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • AI글쓰기 2.1 업데이트
  • 통합검색(17,659)
  • 리포트(14,138)
  • 자기소개서(2,403)
  • 시험자료(511)
  • 방송통신대(290)
  • 논문(248)
  • 기업보고서(40)
  • 서식(15)
  • 이력서(8)
  • ppt테마(6)
판매자 표지는 다운로드시 포함되지 않습니다.

"회로시스템" 검색결과 7,561-7,580 / 17,659건

  • 실험6 예비보고서
    실험 6. Shift Register & Counter (예비)1. 실험 목적시프트 레지스터와 링 카운터의 동작 원리와 특성을 이해한다. 또한 2진 시스템에서의 숫자표시를 이해 ... 로 되는 것을 배제하기 위하여 수정한 회로이다. 전송펄스가 Low일 때 모든 NAND 게이트의 출력은 High가 되고 SD 혹은 CD 입력에 영향을 미치지 않는다. 따라서 4개의 플립 ... 로 만들어진 링카운터의 구조와 동작원리에 대해 조사하라.위 회로도는 시프트레지스터를 JK 플립플롭으로 구현한 예이다. 초기조건을 가정하고 트리거 신호가 가해졌을때의 각 플립플롭의 동작
    리포트 | 15페이지 | 1,000원 | 등록일 2013.01.01
  • 픽셀플러스 기업 분석
    만 화소 CMOS 이미지 센서 개발 CIS 에 구동칩을 비롯한 주변회로를 모두 내장한 시스템반도체 를 만들어 세계 시장에서 큰 인기 1. 개요1. 개요 경영이념 5 /10 차별 ... . System On a Chip 6. Demo Kit Set2. 주요제품 및 적용분야 적용분야 8 /10 ⑴감시 보안 분야 감시 카메라 용도인 상점 , 교통 분야인 도로 및 주차장 ... 세부적 경쟁전략 16 /10 획기적으로 저렴한 가격 과 이에 뒷받침 되는 성능 을 지닌 CMOS 지문인식시스템도 손쉽게 CMOS 로 대체할 수 있도록 ISP 까지 원칩화해 개발
    리포트 | 20페이지 | 4,000원 | 등록일 2013.06.19
  • 디지털 시스템 실험
    과목명디지털 시스템 실험실험일시2011.10.5제출일시2011.10.12분 반1전 공전자정보공학제 목10. 카운터학습목표·동기식 카운터를 설계하고 실험을 통해 동작을 확인 ... 한다.·비동기식 증가형/감소형 카운터를 설계하고 실험을 통해 동작을 확인한다.실험장비소 모 품비 소 모 품·74LS86··실 험 내 용비동기식 카운터 회로 설계하기실험내용 분석T플립플롭
    리포트 | 2페이지 | 1,000원 | 등록일 2012.07.18
  • 한화방산 생산관리 직군 합격 자기소개서
    회로, A+, 2012-1, 류정래전력전자, B+, 2012-1, 최세완자동제어시스템, B+, 2013-1, 박영칠직무역량 개발 경험◈프로젝트 문제 해결 경험◈프로젝트들을 진행해보
    자기소개서 | 3페이지 | 3,000원 | 등록일 2015.12.23
  • 설계실습 10. 4-bit Adder 회로 설계
    11. 12설계실습 10. 4-bit Adder 회로 설계아날로그 및 디지털 회로1목 차1부울 대수2디지털 시스템34-bit Adder (74LS83)4설계실습 계획2부울 대수부 ... 와 해석에 응용3디지털 시스템부울 대수의 사용디지털 시스템에서의 전압레벨 표현 5V :2진 숫자(binary digit) 2V 1, 0으로 표현 0.8V 0V 부울 대수는 논리회로 ... , 즉 참이면 Yes, 거짓이면 No라는 기능 을 1 과 0 으로 표현하여 공식화 1850년경 Irish의 수학자인 George Boole이 개발 부울 대수 디지털 시스템의 설계
    리포트 | 13페이지 | 1,000원 | 등록일 2010.11.12
  • 연산방식
    의 비트를 연산하기 위해서는 n 회의 덧셈과 시프트 명령이 있어야 하므로 연산 시간이 많이 걸린다.- 회로도3. 병렬 연산병렬 연산은 레지스터에 기억된 n개의 비트가 동시에 연산 ... 을 병렬로 연산기에 입력시켜야 하고, 이들을 병렬로 연산하기 위하여 n 개의 전가산기가 필요하므로 연산 장치가 복잡해진다.- 회로도4. 곱셈- 곱셈은 덧셈의 반복이므로 덧셈으로 곱셈 ... : binary coded decimal system)라 한다. 단 BCD에서 1010, 1011, 1100, 1101, 1110, 1111은 10진법이 아니다.- 10진수 한 자리
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.06
  • 신의손) 네이버 합격 자기소개서
    가 방대했기 때문에 이를 만족하는 툴을 찾는 과정에서 몰랐었던 시뮬레이션 툴들의 장 단점을 알 수 있었습니다.그 다음에 바로 기본적인 시스템을 제작했는데, Spice가 회로 분석 ... 또는 전공서적을 선택하고, 그 이유를 설명하시오.첫 째, 신호 및 시스템에서 A0을 받았습니다. 복학 후에 첫 번째 전공과목인데, 영어로 수업이 이루어져서 정복할 의욕이 충만 ... 의 경험입니다. 먼저 팀원들과 진행했던 일정 회의는 앞으로 작업시간을 줄여줄 수 있었던 밑바탕이 되었습니다. 큰 틀의 코딩을 구상하고, 시스템을 구현 할 툴을 선정했습니다. 연산횟수
    자기소개서 | 3페이지 | 3,000원 | 등록일 2014.07.19
  • 태양전지 report
    %를 실리콘가격이 차지하는 비중이 크고 태양광 발전 시스템을 설치할 때 드는 모듈 설치 비용, 설치에 필요한 땅값, 유지 관리비 등 태양전지 이외의 비용이 태양전지의 총 면적에 비례하기 ... 때문에 태양전지의 효율증가는 태양광 발전 시스템의 제조 단가를 낮추는 효과도 있지만 발전 단가를 낮추는 중요한 변수이다. 따라서, 태양 에너지를 지상 전력용으로 도입을 확대하기 위 ... 으로 이동하여 합선회로 전류(short-circuit current, Isc)를 발생하고 개방회로전압(open-circuit voltage, Voc)은 두 반도체의 밴드갭 에너지 차이
    리포트 | 10페이지 | 2,500원 | 등록일 2013.03.08
  • 통신시스템 실험(PM_AM)
    통신시스템 실험1. 실험 목적아날로그 신호의 변조 중 PM 과 FM 에 대하여 이해하고, 이를 실험을 통해 직접 확인한다.2. 이론적 배경① PM ( Phase ... 를 다음과 같이 single-tone 으로 잡는다.이를 FM 에 대입하여 정리를 하게 되면 다음과 같은 식을 얻을 수 있다.2. 실험 과정① VCO-LO 회로블럭에서 2핀 커넥터 ... 를 452kHz 단자에 연결한다.② 오실로스코프 채널 2 프로브를 VCO-LO 위의 (FM) OUT 에 연결한다.③ 전압계를 직류전압으로 설정하고 , VCO-LO 회로 블록의 T에 연결
    리포트 | 6페이지 | 1,000원 | 등록일 2012.12.29
  • IT융합시대는 어떻게 진행되고 있고 무엇을 준비해야 하는가?
    나노로봇의 개발을 들 수 있다. 나노로봇은 인간의 뇌와 신경에 해당하는 논리회로, 감각기관을 담당하는 각종 센서, 움직이기 위한 구동 장치, 그리고 이들을 통합하는 제어시스템 ... Biotechnology Technology의 약자인 BT기술은 생명현상을 일으키는 생체나 생체유래물질 또는 생물학적 시스템을 이용하여 산업적으로 유용한 제품을 제조하거나 공정을 개선하기
    리포트 | 6페이지 | 2,000원 | 등록일 2014.10.23 | 수정일 2014.11.10
  • VHDL 디지털시계 최종보고서 시뮬레이션(소스 포함)
    al f: std_logic;- 디지털 시스템의 내부 회로의 연결, 동작을 표현 architecture를 선언signal 선언을함vfunction dis_7_seg(count_seg ... 에서 간단한 칩으로만 만들었던 회로를 VHDL 방식으로 변환시켜 그것을 응용함으로써 더욱 완벽히 VHDL에 대한 것을 알아 가기 위해 선정을 하게 되었습니다.조원들의 의견을 종합 ... std_logic_vector(1 downto 0);day_out: out std_logic_vector(6 downto 0));end clock;- 디지털 시스템의 외부의 핀
    리포트 | 15페이지 | 1,500원 | 등록일 2013.01.26 | 수정일 2023.03.08
  • 디지털 시계 (회로도,부품리스트,동작원리,발표자료,이미지)
    + 목 차 +Ⅰ. 디지털시계의 개요ⅰ. 개요ⅱ. 목적ⅲ. 제작Ⅱ. TTL Clock 제작 회로도ⅰ.전체 회로도 분석ⅱ. 회로도 해석Ⅱ. TTL Clock 제작 부품ⅰ. 저항 ... 과 다이오드ⅱ.V _{cc}와 GNDⅲ. IC (Integrated Circuit, 직접회로)A. TTL vs CMOSB. Data sheetsⅳ. 기타 공구 및 사용a. 납땜b. 기타 ... 갖추면 좋은 공구Ⅲ. TTL Clock 제작Ⅳ. TTL Clock 제작 시 유의점ⅰ. 납땜 시 유의점ⅱ. 회로도 분석시 유의점ⅲ. 제작시 유의점Ⅴ. 제작후기디 지 털 시 계 제
    리포트 | 56페이지 | 1,000원 | 등록일 2011.11.22
  • 초음파 센서 led 및 7세그먼트를 이용한 주차 보조 시스템
    캡스톤 디자인 제작 계획제목 : 초음파 센서, LED 및 7세그먼트를이용한 주차 보조 시스템제출일 : 2013. 04. 05(금)학 번 : 08167029 이 름 : 고명빈학 번 ... 작품의 내용1) 작품 제작의 상세목표2) 작품 제작의 상세내용3. 시스템 전체 구성도4. 프로그램 알고리즘5. mega8, mega128 전원부 및 CPU6. 작품의 제품 소개7 ... 를 이용한 주차보조 시스템참여 학생소속성명주소전화휴대폰E-mail참여 학생소속성명주소전화휴대폰E-mail연구비총 연구비(단위:천원)275,797 원제작기간2013년 3월 ~ 2013년
    리포트 | 16페이지 | 20,000원 | 등록일 2013.07.30
  • 전기자동차용 전지에서의 방열
    ), 노트북용인 스마트모듈(Smart Module), 하이브리드 자동차용인 BMS(Battery Management System) 등으로 구분되며, 전지 시스템의 고안전성 및 고신뢰 ... , 시스템의 전체 cost 등의 사용 조건과 설계 제한 조건 내에서 적절한 방법이 선정된다.아래 표1과 그림1에서 Air cooling과 Liquid cooling의 장단점을 비교 ... )가 다르며, 1.15 M LiAsF6/PC+ EC(1:1)의 경우 190 ℃이며 전기화학적 산화조건과 병행하면 10∼20 ℃ 정도 더 낮아진다.연구/기술내용 현황과 전망보호회로현재 리튬
    리포트 | 11페이지 | 1,000원 | 등록일 2011.10.12 | 수정일 2022.06.21
  • ATmega와 Servo모터를 이용한 바둑알분류 시스템설계
    와 자동화시스템에 대한 지식을 이해하고 소프트웨어를 다루는 능력을 배양하며 실제 동작구현을 통하여 창의적인 사고와 실무에서 사용가능한 능력을 기를 수 있도록 한다. 또 회로망 구축 ... ATmega와 Servo 모터를 이용한바둑알 분류시스템 설계1. 목적현대로 들어서면서 우리사회는 좀 더 진보적이면서 자동화적인 시스템으로 바뀌고 있는 상태이다. 그 가운데 최선 ... 전자제품들과 스마트라는 획기적인 제품들도 출시되고 있다. 우리는 그런 자동화시스템에 발맞추어 아직까지 출시되지 않은 우리만의 자동화 시스템을 설계하기 위해서 이번 설계를 진행
    리포트 | 13페이지 | 8,000원 | 등록일 2010.12.10
  • BTT 바이어스 회로 설계 (결과 레포트)
    1. 목적콜렉터 피드백, 이미터 바이어스, 전압분배기 바이어스회로를 설계한다.2. 실험장비(1) 계측장비DMM(2) 부품◇ 저항이 실험은 설계를 하기 위한 실험으로 저항을 장비 ... , 이미터 바이어스, 전압분배기 바이어스회로를 예비 설계하는 것이다. 회로가 주어지고, 다양한 회로의 응답이 요구되는 회로 해석과 달리, 회로설계에 있어서 원하는 회로의 응답이 정해 ... 지고, 원하는 변수를 가지는 회로가 구성되어지는 것이다.이 실험에서 취해진 접근법은 회로 설계가 종종 일련의 절충이다는 것을 보여준다. 가장 안정한 회로는 받아들일 수 있는 ac
    리포트 | 14페이지 | 2,000원 | 등록일 2011.06.24
  • 지적 재산권 제도로 살펴본 한중 무역 분쟁
    상승 방법, 그래픽, 사운드 등 게임의 100여 항목에 '미르의 전설' 시스템을 도용하고 있다고 주장하였다. 또한 유사한 중국어 '傳奇'라는 상표는 '미르의 전설'의 중국어 버전인 ... 권은 크게 산업재산권, 작권, 기타 권리(업비, 반도 체직회로 배치 설계권, 식물육종권으로로 나뉜다. 우리나라에서는 산업재산권이 특허, 실용신안, 디자인, 상표로 구분되지만, 중국 ... 局)이 확?개편되어 특허와 실용신안, 의장 등의 출원, 심사 등록, 특허정보시스템의 구축, 지식재산권 관련 정책의 수립과 법률안 작성 및 규칙 제정, 지식재산권 관련 외국과의 협상 및
    리포트 | 7페이지 | 3,000원 | 등록일 2016.06.30
  • LG 엘지 유플러스 자기소개서, 합격 자기소개서, 지원동기, 최종합격
    , 디스플레이, 통신분야를 접했습니다. 그 중에서도 회로나 하드웨어 설계에 대한 관심이 많아 유,무선 기술에 대한 차세대서비스를 개발하는 LG U+에 지원을 하게 되었습니다. 연구개발 ... 의 업무수행을 하기위한 아날로그통신,디지털통신 등의 전공수업을 수강했습니다. 또한 전자공학설계수업에서 Verilog, C언어를 사용하여 Embedded system을 만드 ... 고 변화와 혁신을 통해 ‘Personal Value Provider’ 비전을 설정하였습니다. 고객이 진정으로 원하는 가치를 제공하기 위해 유,무선 네트워크 시스템의 안정적인 운영
    자기소개서 | 2페이지 | 3,000원 | 등록일 2014.07.14
  • Data Acquisition and LabView programming
    /output을 NI USB 6008를 이용하여 수행했다. 또, LabVIEW를 사용하여 회로와 interaction하는 programming을 직접 작성해 보았다.구체적으로 보 ... 할 경우 여러 연결된 장치를 하나의 system으로 만들고 data를 원하는 순서대로 가공해 주어야 하는데, LabVIEW는 그런 프로그램을 작성하는데에 최적화된 프로그래밍 언어이 ... 이 안 된 input의 경우 pre-lab에서 살펴본 바와 같이 analog input부분의 회로가 Figure 4-8과 같기 때문에, Figure 4-8의 왼쪽의 부분에서 AI
    리포트 | 29페이지 | 2,000원 | 등록일 2013.10.14 | 수정일 2013.12.09
  • 방통대 인간과교육 현대사회에서 평생교육의 필요성이 강조되는 이유
    교육의 필요성우리의 사회는 산업사회에서 정보화 사회를 거쳐 지식기반사회로 이동하고 있다. 21세기에 들어서 전 세계적으로 사회의 지식 기반화는 가속화되고 있다. 지식기반사회에서 한 ... 하고 있으며 지식기반사회의 모든 시스템, 제도, 행정, 문화, 교육 등에 영향을 주고 있다. 따라서 지식기반사회에 있어서 빠르게 진화하고 있는 정보화기술에 대한 지속적인 교육의 필요
    방송통신대 | 8페이지 | 3,000원 | 등록일 2015.11.29
  • 프레시홍 - 추석
해캠 AI 챗봇과 대화하기
챗봇으로 간편하게 상담해보세요.
2025년 09월 26일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:21 오후
문서 초안을 생성해주는 EasyAI
안녕하세요 해피캠퍼스의 20년의 운영 노하우를 이용하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 AI가 방대한 정보를 재가공하여, 최적의 목차와 내용을 자동으로 만들어 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
- 스토어에서 무료 이용권를 계정별로 1회 발급 받을 수 있습니다. 지금 바로 체험해 보세요!
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감